Firmware2/Marlin/pins_HEPHESTOS.h
2015-01-31 21:38:30 -08:00

6 lines
114 B
C
Raw Blame History

This file contains ambiguous Unicode characters

This file contains Unicode characters that might be confused with other characters. If you think that this is intentional, you can safely ignore this warning. Use the Escape button to reveal them.

/**
* bq Prusa i3 Hephestos Arduino Mega with RAMPS v1.3/1.4 pin assignments
*/
#include "pins_RAMPS_13.h"