doorlockd-mirror/schematics/Doorlock.brd

3353 lines
167 KiB
Plaintext

<?xml version="1.0" encoding="utf-8"?>
<!DOCTYPE eagle SYSTEM "eagle.dtd">
<eagle version="9.1.3">
<drawing>
<settings>
<setting alwaysvectorfont="no"/>
<setting verticaltext="up"/>
</settings>
<grid distance="0.15875" unitdist="mm" unit="mm" style="lines" multiple="1" display="no" altdistance="5" altunitdist="mil" altunit="mm"/>
<layers>
<layer number="1" name="Top" color="4" fill="1" visible="yes" active="yes"/>
<layer number="2" name="Route2" color="16" fill="1" visible="no" active="no"/>
<layer number="3" name="Route3" color="17" fill="1" visible="no" active="no"/>
<layer number="4" name="Route4" color="18" fill="1" visible="no" active="no"/>
<layer number="5" name="Route5" color="19" fill="1" visible="no" active="no"/>
<layer number="6" name="Route6" color="25" fill="1" visible="no" active="no"/>
<layer number="7" name="Route7" color="26" fill="1" visible="no" active="no"/>
<layer number="8" name="Route8" color="27" fill="1" visible="no" active="no"/>
<layer number="9" name="Route9" color="28" fill="1" visible="no" active="no"/>
<layer number="10" name="Route10" color="29" fill="1" visible="no" active="no"/>
<layer number="11" name="Route11" color="30" fill="1" visible="no" active="no"/>
<layer number="12" name="Route12" color="20" fill="1" visible="no" active="no"/>
<layer number="13" name="Route13" color="21" fill="1" visible="no" active="no"/>
<layer number="14" name="Route14" color="22" fill="1" visible="no" active="no"/>
<layer number="15" name="Route15" color="23" fill="1" visible="no" active="no"/>
<layer number="16" name="Bottom" color="1" fill="1" visible="yes" active="yes"/>
<layer number="17" name="Pads" color="2" fill="1" visible="yes" active="yes"/>
<layer number="18" name="Vias" color="2" fill="1" visible="yes" active="yes"/>
<layer number="19" name="Unrouted" color="6" fill="1" visible="yes" active="yes"/>
<layer number="20" name="Dimension" color="24" fill="1" visible="yes" active="yes"/>
<layer number="21" name="tPlace" color="7" fill="1" visible="yes" active="yes"/>
<layer number="22" name="bPlace" color="7" fill="1" visible="no" active="yes"/>
<layer number="23" name="tOrigins" color="15" fill="1" visible="yes" active="yes"/>
<layer number="24" name="bOrigins" color="15" fill="1" visible="yes" active="yes"/>
<layer number="25" name="tNames" color="7" fill="1" visible="no" active="yes"/>
<layer number="26" name="bNames" color="7" fill="1" visible="no" active="yes"/>
<layer number="27" name="tValues" color="7" fill="1" visible="no" active="yes"/>
<layer number="28" name="bValues" color="7" fill="1" visible="no" active="yes"/>
<layer number="29" name="tStop" color="7" fill="3" visible="no" active="yes"/>
<layer number="30" name="bStop" color="7" fill="6" visible="no" active="yes"/>
<layer number="31" name="tCream" color="7" fill="4" visible="no" active="yes"/>
<layer number="32" name="bCream" color="7" fill="5" visible="no" active="yes"/>
<layer number="33" name="tFinish" color="6" fill="3" visible="no" active="yes"/>
<layer number="34" name="bFinish" color="6" fill="6" visible="no" active="yes"/>
<layer number="35" name="tGlue" color="7" fill="4" visible="no" active="yes"/>
<layer number="36" name="bGlue" color="7" fill="5" visible="no" active="yes"/>
<layer number="37" name="tTest" color="7" fill="1" visible="yes" active="yes"/>
<layer number="38" name="bTest" color="7" fill="1" visible="yes" active="yes"/>
<layer number="39" name="tKeepout" color="4" fill="11" visible="yes" active="yes"/>
<layer number="40" name="bKeepout" color="1" fill="11" visible="yes" active="yes"/>
<layer number="41" name="tRestrict" color="4" fill="10" visible="yes" active="yes"/>
<layer number="42" name="bRestrict" color="1" fill="10" visible="yes" active="yes"/>
<layer number="43" name="vRestrict" color="2" fill="10" visible="yes" active="yes"/>
<layer number="44" name="Drills" color="7" fill="1" visible="yes" active="yes"/>
<layer number="45" name="Holes" color="7" fill="1" visible="yes" active="yes"/>
<layer number="46" name="Milling" color="3" fill="1" visible="yes" active="yes"/>
<layer number="47" name="Measures" color="7" fill="1" visible="yes" active="yes"/>
<layer number="48" name="Document" color="7" fill="1" visible="yes" active="yes"/>
<layer number="49" name="Reference" color="7" fill="1" visible="yes" active="yes"/>
<layer number="50" name="dxf" color="7" fill="1" visible="no" active="no"/>
<layer number="51" name="tDocu" color="7" fill="1" visible="yes" active="yes"/>
<layer number="52" name="bDocu" color="7" fill="1" visible="yes" active="yes"/>
<layer number="53" name="tGND_GNDA" color="7" fill="9" visible="no" active="no"/>
<layer number="54" name="bGND_GNDA" color="1" fill="9" visible="no" active="no"/>
<layer number="56" name="wert" color="7" fill="1" visible="no" active="no"/>
<layer number="57" name="tCAD" color="7" fill="1" visible="no" active="no"/>
<layer number="59" name="tCarbon" color="7" fill="1" visible="no" active="no"/>
<layer number="60" name="bCarbon" color="7" fill="1" visible="no" active="no"/>
<layer number="88" name="SimResults" color="9" fill="1" visible="no" active="no"/>
<layer number="89" name="SimProbes" color="9" fill="1" visible="no" active="no"/>
<layer number="90" name="Modules" color="5" fill="1" visible="no" active="no"/>
<layer number="91" name="Nets" color="2" fill="1" visible="no" active="no"/>
<layer number="92" name="Busses" color="1" fill="1" visible="no" active="no"/>
<layer number="93" name="Pins" color="2" fill="1" visible="no" active="no"/>
<layer number="94" name="Symbols" color="4" fill="1" visible="no" active="no"/>
<layer number="95" name="Names" color="7" fill="1" visible="no" active="no"/>
<layer number="96" name="Values" color="7" fill="1" visible="no" active="no"/>
<layer number="97" name="Info" color="7" fill="1" visible="no" active="no"/>
<layer number="98" name="Guide" color="6" fill="1" visible="no" active="no"/>
<layer number="99" name="SpiceOrder" color="7" fill="1" visible="no" active="no"/>
<layer number="100" name="Muster" color="7" fill="1" visible="no" active="no"/>
<layer number="101" name="Patch_Top" color="12" fill="4" visible="yes" active="yes"/>
<layer number="102" name="Vscore" color="7" fill="1" visible="yes" active="yes"/>
<layer number="103" name="tMap" color="7" fill="1" visible="yes" active="yes"/>
<layer number="104" name="Name" color="16" fill="1" visible="yes" active="yes"/>
<layer number="105" name="tPlate" color="7" fill="1" visible="yes" active="yes"/>
<layer number="106" name="bPlate" color="7" fill="1" visible="yes" active="yes"/>
<layer number="107" name="Crop" color="7" fill="1" visible="yes" active="yes"/>
<layer number="108" name="tplace-old" color="10" fill="1" visible="yes" active="yes"/>
<layer number="109" name="ref-old" color="11" fill="1" visible="yes" active="yes"/>
<layer number="110" name="fp0" color="7" fill="1" visible="yes" active="yes"/>
<layer number="111" name="LPC17xx" color="7" fill="1" visible="yes" active="yes"/>
<layer number="112" name="tSilk" color="7" fill="1" visible="yes" active="yes"/>
<layer number="113" name="IDFDebug" color="4" fill="1" visible="yes" active="yes"/>
<layer number="114" name="Badge_Outline" color="7" fill="1" visible="yes" active="yes"/>
<layer number="115" name="ReferenceISLANDS" color="7" fill="1" visible="yes" active="yes"/>
<layer number="116" name="Patch_BOT" color="9" fill="4" visible="yes" active="yes"/>
<layer number="118" name="Rect_Pads" color="7" fill="1" visible="yes" active="yes"/>
<layer number="121" name="_tsilk" color="7" fill="1" visible="yes" active="yes"/>
<layer number="122" name="_bsilk" color="7" fill="1" visible="yes" active="yes"/>
<layer number="123" name="tTestmark" color="7" fill="1" visible="yes" active="yes"/>
<layer number="124" name="bTestmark" color="7" fill="1" visible="yes" active="yes"/>
<layer number="125" name="_tNames" color="7" fill="1" visible="yes" active="yes"/>
<layer number="126" name="_bNames" color="7" fill="1" visible="yes" active="yes"/>
<layer number="127" name="_tValues" color="7" fill="1" visible="yes" active="yes"/>
<layer number="128" name="_bValues" color="7" fill="1" visible="yes" active="yes"/>
<layer number="129" name="Mask" color="7" fill="1" visible="yes" active="yes"/>
<layer number="131" name="tAdjust" color="7" fill="1" visible="yes" active="yes"/>
<layer number="132" name="bAdjust" color="7" fill="1" visible="yes" active="yes"/>
<layer number="144" name="Drill_legend" color="7" fill="1" visible="yes" active="yes"/>
<layer number="150" name="Notes" color="7" fill="1" visible="yes" active="yes"/>
<layer number="151" name="HeatSink" color="7" fill="1" visible="yes" active="yes"/>
<layer number="152" name="_bDocu" color="7" fill="1" visible="yes" active="yes"/>
<layer number="153" name="FabDoc1" color="7" fill="1" visible="yes" active="yes"/>
<layer number="154" name="FabDoc2" color="7" fill="1" visible="yes" active="yes"/>
<layer number="155" name="FabDoc3" color="7" fill="1" visible="yes" active="yes"/>
<layer number="199" name="Contour" color="7" fill="1" visible="yes" active="yes"/>
<layer number="200" name="200bmp" color="1" fill="10" visible="yes" active="yes"/>
<layer number="201" name="201bmp" color="2" fill="10" visible="yes" active="yes"/>
<layer number="202" name="202bmp" color="3" fill="10" visible="yes" active="yes"/>
<layer number="203" name="203bmp" color="4" fill="10" visible="yes" active="yes"/>
<layer number="204" name="204bmp" color="5" fill="10" visible="yes" active="yes"/>
<layer number="205" name="205bmp" color="6" fill="10" visible="yes" active="yes"/>
<layer number="206" name="206bmp" color="7" fill="10" visible="yes" active="yes"/>
<layer number="207" name="207bmp" color="8" fill="10" visible="yes" active="yes"/>
<layer number="208" name="208bmp" color="9" fill="10" visible="yes" active="yes"/>
<layer number="209" name="209bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="210" name="210bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="211" name="211bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="212" name="212bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="213" name="213bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="214" name="214bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="215" name="215bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="216" name="216bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="217" name="217bmp" color="18" fill="1" visible="no" active="no"/>
<layer number="218" name="218bmp" color="19" fill="1" visible="no" active="no"/>
<layer number="219" name="219bmp" color="20" fill="1" visible="no" active="no"/>
<layer number="220" name="220bmp" color="21" fill="1" visible="no" active="no"/>
<layer number="221" name="221bmp" color="22" fill="1" visible="no" active="no"/>
<layer number="222" name="222bmp" color="23" fill="1" visible="no" active="no"/>
<layer number="223" name="223bmp" color="24" fill="1" visible="no" active="no"/>
<layer number="224" name="224bmp" color="25" fill="1" visible="no" active="no"/>
<layer number="225" name="225bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="226" name="226bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="227" name="227bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="228" name="228bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="229" name="229bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="230" name="230bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="231" name="231bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="232" name="Eagle3D_PG2" color="7" fill="1" visible="yes" active="yes"/>
<layer number="233" name="Eagle3D_PG3" color="7" fill="1" visible="yes" active="yes"/>
<layer number="248" name="Housing" color="7" fill="1" visible="yes" active="yes"/>
<layer number="249" name="Edge" color="7" fill="1" visible="yes" active="yes"/>
<layer number="250" name="Descript" color="3" fill="1" visible="no" active="no"/>
<layer number="251" name="SMDround" color="12" fill="11" visible="no" active="no"/>
<layer number="254" name="cooling" color="7" fill="1" visible="yes" active="yes"/>
<layer number="255" name="routoute" color="7" fill="1" visible="yes" active="yes"/>
</layers>
<board>
<plain>
<text x="40.79875" y="0.3175" size="1.27" layer="21" font="fixed" rot="R90">©Binary Kitchen e.V.
Ralf Ramsauer</text>
</plain>
<libraries>
<library name="rcl">
<description>&lt;b&gt;Resistors, Capacitors, Inductors&lt;/b&gt;&lt;p&gt;
Based on the previous libraries:
&lt;ul&gt;
&lt;li&gt;r.lbr
&lt;li&gt;cap.lbr
&lt;li&gt;cap-fe.lbr
&lt;li&gt;captant.lbr
&lt;li&gt;polcap.lbr
&lt;li&gt;ipc-smd.lbr
&lt;/ul&gt;
All SMD packages are defined according to the IPC specifications and CECC&lt;p&gt;
&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;&lt;p&gt;
&lt;p&gt;
for Electrolyt Capacitors see also :&lt;p&gt;
www.bccomponents.com &lt;p&gt;
www.panasonic.com&lt;p&gt;
www.kemet.com&lt;p&gt;
http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf &lt;b&gt;(SANYO)&lt;/b&gt;
&lt;p&gt;
for trimmer refence see : &lt;u&gt;www.electrospec-inc.com/cross_references/trimpotcrossref.asp&lt;/u&gt;&lt;p&gt;
&lt;table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0&gt;
&lt;tr valign="top"&gt;
&lt;! &lt;td width="10"&gt;&amp;nbsp;&lt;/td&gt;
&lt;td width="90%"&gt;
&lt;b&gt;&lt;font color="#0000FF" size="4"&gt;TRIM-POT CROSS REFERENCE&lt;/font&gt;&lt;/b&gt;
&lt;P&gt;
&lt;TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2&gt;
&lt;TR&gt;
&lt;TD COLSPAN=8&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;RECTANGULAR MULTI-TURN&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;B&gt;
&lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;BOURNS&lt;/FONT&gt;
&lt;/B&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;B&gt;
&lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;BI&amp;nbsp;TECH&lt;/FONT&gt;
&lt;/B&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;B&gt;
&lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;DALE-VISHAY&lt;/FONT&gt;
&lt;/B&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;B&gt;
&lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;PHILIPS/MEPCO&lt;/FONT&gt;
&lt;/B&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;B&gt;
&lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;MURATA&lt;/FONT&gt;
&lt;/B&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;B&gt;
&lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;PANASONIC&lt;/FONT&gt;
&lt;/B&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;B&gt;
&lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;SPECTROL&lt;/FONT&gt;
&lt;/B&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;B&gt;
&lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;MILSPEC&lt;/FONT&gt;
&lt;/B&gt;
&lt;/TD&gt;&lt;TD&gt;&amp;nbsp;&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3 &gt;
3005P&lt;BR&gt;
3006P&lt;BR&gt;
3006W&lt;BR&gt;
3006Y&lt;BR&gt;
3009P&lt;BR&gt;
3009W&lt;BR&gt;
3009Y&lt;BR&gt;
3057J&lt;BR&gt;
3057L&lt;BR&gt;
3057P&lt;BR&gt;
3057Y&lt;BR&gt;
3059J&lt;BR&gt;
3059L&lt;BR&gt;
3059P&lt;BR&gt;
3059Y&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
89P&lt;BR&gt;
89W&lt;BR&gt;
89X&lt;BR&gt;
89PH&lt;BR&gt;
76P&lt;BR&gt;
89XH&lt;BR&gt;
78SLT&lt;BR&gt;
78L&amp;nbsp;ALT&lt;BR&gt;
56P&amp;nbsp;ALT&lt;BR&gt;
78P&amp;nbsp;ALT&lt;BR&gt;
T8S&lt;BR&gt;
78L&lt;BR&gt;
56P&lt;BR&gt;
78P&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
T18/784&lt;BR&gt;
783&lt;BR&gt;
781&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
2199&lt;BR&gt;
1697/1897&lt;BR&gt;
1680/1880&lt;BR&gt;
2187&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
8035EKP/CT20/RJ-20P&lt;BR&gt;
-&lt;BR&gt;
RJ-20X&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
1211L&lt;BR&gt;
8012EKQ&amp;nbsp;ALT&lt;BR&gt;
8012EKR&amp;nbsp;ALT&lt;BR&gt;
1211P&lt;BR&gt;
8012EKJ&lt;BR&gt;
8012EKL&lt;BR&gt;
8012EKQ&lt;BR&gt;
8012EKR&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
2101P&lt;BR&gt;
2101W&lt;BR&gt;
2101Y&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
2102L&lt;BR&gt;
2102S&lt;BR&gt;
2102Y&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
EVMCOG&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
43P&lt;BR&gt;
43W&lt;BR&gt;
43Y&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
40L&lt;BR&gt;
40P&lt;BR&gt;
40Y&lt;BR&gt;
70Y-T602&lt;BR&gt;
70L&lt;BR&gt;
70P&lt;BR&gt;
70Y&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
RT/RTR12&lt;BR&gt;
RT/RTR12&lt;BR&gt;
RT/RTR12&lt;BR&gt;
-&lt;BR&gt;
RJ/RJR12&lt;BR&gt;
RJ/RJR12&lt;BR&gt;
RJ/RJR12&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD COLSPAN=8&gt;&amp;nbsp;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD COLSPAN=8&gt;
&lt;FONT SIZE=4 FACE=ARIAL&gt;&lt;B&gt;SQUARE MULTI-TURN&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BOURN&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BI&amp;nbsp;TECH&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;DALE-VISHAY&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PHILIPS/MEPCO&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;MURATA&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PANASONIC&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;SPECTROL&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;MILSPEC&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
3250L&lt;BR&gt;
3250P&lt;BR&gt;
3250W&lt;BR&gt;
3250X&lt;BR&gt;
3252P&lt;BR&gt;
3252W&lt;BR&gt;
3252X&lt;BR&gt;
3260P&lt;BR&gt;
3260W&lt;BR&gt;
3260X&lt;BR&gt;
3262P&lt;BR&gt;
3262W&lt;BR&gt;
3262X&lt;BR&gt;
3266P&lt;BR&gt;
3266W&lt;BR&gt;
3266X&lt;BR&gt;
3290H&lt;BR&gt;
3290P&lt;BR&gt;
3290W&lt;BR&gt;
3292P&lt;BR&gt;
3292W&lt;BR&gt;
3292X&lt;BR&gt;
3296P&lt;BR&gt;
3296W&lt;BR&gt;
3296X&lt;BR&gt;
3296Y&lt;BR&gt;
3296Z&lt;BR&gt;
3299P&lt;BR&gt;
3299W&lt;BR&gt;
3299X&lt;BR&gt;
3299Y&lt;BR&gt;
3299Z&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
66P&amp;nbsp;ALT&lt;BR&gt;
66W&amp;nbsp;ALT&lt;BR&gt;
66X&amp;nbsp;ALT&lt;BR&gt;
66P&amp;nbsp;ALT&lt;BR&gt;
66W&amp;nbsp;ALT&lt;BR&gt;
66X&amp;nbsp;ALT&lt;BR&gt;
-&lt;BR&gt;
64W&amp;nbsp;ALT&lt;BR&gt;
-&lt;BR&gt;
64P&amp;nbsp;ALT&lt;BR&gt;
64W&amp;nbsp;ALT&lt;BR&gt;
64X&amp;nbsp;ALT&lt;BR&gt;
64P&lt;BR&gt;
64W&lt;BR&gt;
64X&lt;BR&gt;
66X&amp;nbsp;ALT&lt;BR&gt;
66P&amp;nbsp;ALT&lt;BR&gt;
66W&amp;nbsp;ALT&lt;BR&gt;
66P&lt;BR&gt;
66W&lt;BR&gt;
66X&lt;BR&gt;
67P&lt;BR&gt;
67W&lt;BR&gt;
67X&lt;BR&gt;
67Y&lt;BR&gt;
67Z&lt;BR&gt;
68P&lt;BR&gt;
68W&lt;BR&gt;
68X&lt;BR&gt;
67Y&amp;nbsp;ALT&lt;BR&gt;
67Z&amp;nbsp;ALT&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
5050&lt;BR&gt;
5091&lt;BR&gt;
5080&lt;BR&gt;
5087&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
T63YB&lt;BR&gt;
T63XB&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
5887&lt;BR&gt;
5891&lt;BR&gt;
5880&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
T93Z&lt;BR&gt;
T93YA&lt;BR&gt;
T93XA&lt;BR&gt;
T93YB&lt;BR&gt;
T93XB&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
8026EKP&lt;BR&gt;
8026EKW&lt;BR&gt;
8026EKM&lt;BR&gt;
8026EKP&lt;BR&gt;
8026EKB&lt;BR&gt;
8026EKM&lt;BR&gt;
1309X&lt;BR&gt;
1309P&lt;BR&gt;
1309W&lt;BR&gt;
8024EKP&lt;BR&gt;
8024EKW&lt;BR&gt;
8024EKN&lt;BR&gt;
RJ-9P/CT9P&lt;BR&gt;
RJ-9W&lt;BR&gt;
RJ-9X&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
3103P&lt;BR&gt;
3103Y&lt;BR&gt;
3103Z&lt;BR&gt;
3103P&lt;BR&gt;
3103Y&lt;BR&gt;
3103Z&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
3105P/3106P&lt;BR&gt;
3105W/3106W&lt;BR&gt;
3105X/3106X&lt;BR&gt;
3105Y/3106Y&lt;BR&gt;
3105Z/3105Z&lt;BR&gt;
3102P&lt;BR&gt;
3102W&lt;BR&gt;
3102X&lt;BR&gt;
3102Y&lt;BR&gt;
3102Z&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
EVMCBG&lt;BR&gt;
EVMCCG&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
55-1-X&lt;BR&gt;
55-4-X&lt;BR&gt;
55-3-X&lt;BR&gt;
55-2-X&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
50-2-X&lt;BR&gt;
50-4-X&lt;BR&gt;
50-3-X&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
64P&lt;BR&gt;
64W&lt;BR&gt;
64X&lt;BR&gt;
64Y&lt;BR&gt;
64Z&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
RT/RTR22&lt;BR&gt;
RT/RTR22&lt;BR&gt;
RT/RTR22&lt;BR&gt;
RT/RTR22&lt;BR&gt;
RJ/RJR22&lt;BR&gt;
RJ/RJR22&lt;BR&gt;
RJ/RJR22&lt;BR&gt;
RT/RTR26&lt;BR&gt;
RT/RTR26&lt;BR&gt;
RT/RTR26&lt;BR&gt;
RJ/RJR26&lt;BR&gt;
RJ/RJR26&lt;BR&gt;
RJ/RJR26&lt;BR&gt;
RJ/RJR26&lt;BR&gt;
RJ/RJR26&lt;BR&gt;
RJ/RJR26&lt;BR&gt;
RT/RTR24&lt;BR&gt;
RT/RTR24&lt;BR&gt;
RT/RTR24&lt;BR&gt;
RJ/RJR24&lt;BR&gt;
RJ/RJR24&lt;BR&gt;
RJ/RJR24&lt;BR&gt;
RJ/RJR24&lt;BR&gt;
RJ/RJR24&lt;BR&gt;
RJ/RJR24&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD COLSPAN=8&gt;&amp;nbsp;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD COLSPAN=8&gt;
&lt;FONT SIZE=4 FACE=ARIAL&gt;&lt;B&gt;SINGLE TURN&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BOURN&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BI&amp;nbsp;TECH&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;DALE-VISHAY&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PHILIPS/MEPCO&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;MURATA&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PANASONIC&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;SPECTROL&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;MILSPEC&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
3323P&lt;BR&gt;
3323S&lt;BR&gt;
3323W&lt;BR&gt;
3329H&lt;BR&gt;
3329P&lt;BR&gt;
3329W&lt;BR&gt;
3339H&lt;BR&gt;
3339P&lt;BR&gt;
3339W&lt;BR&gt;
3352E&lt;BR&gt;
3352H&lt;BR&gt;
3352K&lt;BR&gt;
3352P&lt;BR&gt;
3352T&lt;BR&gt;
3352V&lt;BR&gt;
3352W&lt;BR&gt;
3362H&lt;BR&gt;
3362M&lt;BR&gt;
3362P&lt;BR&gt;
3362R&lt;BR&gt;
3362S&lt;BR&gt;
3362U&lt;BR&gt;
3362W&lt;BR&gt;
3362X&lt;BR&gt;
3386B&lt;BR&gt;
3386C&lt;BR&gt;
3386F&lt;BR&gt;
3386H&lt;BR&gt;
3386K&lt;BR&gt;
3386M&lt;BR&gt;
3386P&lt;BR&gt;
3386S&lt;BR&gt;
3386W&lt;BR&gt;
3386X&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
25P&lt;BR&gt;
25S&lt;BR&gt;
25RX&lt;BR&gt;
82P&lt;BR&gt;
82M&lt;BR&gt;
82PA&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
91E&lt;BR&gt;
91X&lt;BR&gt;
91T&lt;BR&gt;
91B&lt;BR&gt;
91A&lt;BR&gt;
91V&lt;BR&gt;
91W&lt;BR&gt;
25W&lt;BR&gt;
25V&lt;BR&gt;
25P&lt;BR&gt;
-&lt;BR&gt;
25S&lt;BR&gt;
25U&lt;BR&gt;
25RX&lt;BR&gt;
25X&lt;BR&gt;
72XW&lt;BR&gt;
72XL&lt;BR&gt;
72PM&lt;BR&gt;
72RX&lt;BR&gt;
-&lt;BR&gt;
72PX&lt;BR&gt;
72P&lt;BR&gt;
72RXW&lt;BR&gt;
72RXL&lt;BR&gt;
72X&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
T7YB&lt;BR&gt;
T7YA&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
TXD&lt;BR&gt;
TYA&lt;BR&gt;
TYP&lt;BR&gt;
-&lt;BR&gt;
TYD&lt;BR&gt;
TX&lt;BR&gt;
-&lt;BR&gt;
150SX&lt;BR&gt;
100SX&lt;BR&gt;
102T&lt;BR&gt;
101S&lt;BR&gt;
190T&lt;BR&gt;
150TX&lt;BR&gt;
101&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
101SX&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
ET6P&lt;BR&gt;
ET6S&lt;BR&gt;
ET6X&lt;BR&gt;
RJ-6W/8014EMW&lt;BR&gt;
RJ-6P/8014EMP&lt;BR&gt;
RJ-6X/8014EMX&lt;BR&gt;
TM7W&lt;BR&gt;
TM7P&lt;BR&gt;
TM7X&lt;BR&gt;
-&lt;BR&gt;
8017SMS&lt;BR&gt;
-&lt;BR&gt;
8017SMB&lt;BR&gt;
8017SMA&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
CT-6W&lt;BR&gt;
CT-6H&lt;BR&gt;
CT-6P&lt;BR&gt;
CT-6R&lt;BR&gt;
-&lt;BR&gt;
CT-6V&lt;BR&gt;
CT-6X&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
8038EKV&lt;BR&gt;
-&lt;BR&gt;
8038EKX&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
8038EKP&lt;BR&gt;
8038EKZ&lt;BR&gt;
8038EKW&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
3321H&lt;BR&gt;
3321P&lt;BR&gt;
3321N&lt;BR&gt;
1102H&lt;BR&gt;
1102P&lt;BR&gt;
1102T&lt;BR&gt;
RVA0911V304A&lt;BR&gt;
-&lt;BR&gt;
RVA0911H413A&lt;BR&gt;
RVG0707V100A&lt;BR&gt;
RVA0607V(H)306A&lt;BR&gt;
RVA1214H213A&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
3104B&lt;BR&gt;
3104C&lt;BR&gt;
3104F&lt;BR&gt;
3104H&lt;BR&gt;
-&lt;BR&gt;
3104M&lt;BR&gt;
3104P&lt;BR&gt;
3104S&lt;BR&gt;
3104W&lt;BR&gt;
3104X&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
EVMQ0G&lt;BR&gt;
EVMQIG&lt;BR&gt;
EVMQ3G&lt;BR&gt;
EVMS0G&lt;BR&gt;
EVMQ0G&lt;BR&gt;
EVMG0G&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
EVMK4GA00B&lt;BR&gt;
EVM30GA00B&lt;BR&gt;
EVMK0GA00B&lt;BR&gt;
EVM38GA00B&lt;BR&gt;
EVMB6&lt;BR&gt;
EVLQ0&lt;BR&gt;
-&lt;BR&gt;
EVMMSG&lt;BR&gt;
EVMMBG&lt;BR&gt;
EVMMAG&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
EVMMCS&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
EVMM1&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
EVMM0&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
EVMM3&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
62-3-1&lt;BR&gt;
62-1-2&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
67R&lt;BR&gt;
-&lt;BR&gt;
67P&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
67X&lt;BR&gt;
63V&lt;BR&gt;
63S&lt;BR&gt;
63M&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
63H&lt;BR&gt;
63P&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
63X&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
RJ/RJR50&lt;BR&gt;
RJ/RJR50&lt;BR&gt;
RJ/RJR50&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;/TABLE&gt;
&lt;P&gt;&amp;nbsp;&lt;P&gt;
&lt;TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3&gt;
&lt;TR&gt;
&lt;TD COLSPAN=7&gt;
&lt;FONT color="#0000FF" SIZE=4 FACE=ARIAL&gt;&lt;B&gt;SMD TRIM-POT CROSS REFERENCE&lt;/B&gt;&lt;/FONT&gt;
&lt;P&gt;
&lt;FONT SIZE=4 FACE=ARIAL&gt;&lt;B&gt;MULTI-TURN&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BOURNS&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BI&amp;nbsp;TECH&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;DALE-VISHAY&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PHILIPS/MEPCO&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PANASONIC&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;TOCOS&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;AUX/KYOCERA&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
3224G&lt;BR&gt;
3224J&lt;BR&gt;
3224W&lt;BR&gt;
3269P&lt;BR&gt;
3269W&lt;BR&gt;
3269X&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
44G&lt;BR&gt;
44J&lt;BR&gt;
44W&lt;BR&gt;
84P&lt;BR&gt;
84W&lt;BR&gt;
84X&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
ST63Z&lt;BR&gt;
ST63Y&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
ST5P&lt;BR&gt;
ST5W&lt;BR&gt;
ST5X&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD COLSPAN=7&gt;&amp;nbsp;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD COLSPAN=7&gt;
&lt;FONT SIZE=4 FACE=ARIAL&gt;&lt;B&gt;SINGLE TURN&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BOURNS&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BI&amp;nbsp;TECH&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;DALE-VISHAY&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PHILIPS/MEPCO&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PANASONIC&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;TOCOS&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;AUX/KYOCERA&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
3314G&lt;BR&gt;
3314J&lt;BR&gt;
3364A/B&lt;BR&gt;
3364C/D&lt;BR&gt;
3364W/X&lt;BR&gt;
3313G&lt;BR&gt;
3313J&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
23B&lt;BR&gt;
23A&lt;BR&gt;
21X&lt;BR&gt;
21W&lt;BR&gt;
-&lt;BR&gt;
22B&lt;BR&gt;
22A&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
ST5YL/ST53YL&lt;BR&gt;
ST5YJ/5T53YJ&lt;BR&gt;
ST-23A&lt;BR&gt;
ST-22B&lt;BR&gt;
ST-22&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
ST-4B&lt;BR&gt;
ST-4A&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
ST-3B&lt;BR&gt;
ST-3A&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
EVM-6YS&lt;BR&gt;
EVM-1E&lt;BR&gt;
EVM-1G&lt;BR&gt;
EVM-1D&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
G4B&lt;BR&gt;
G4A&lt;BR&gt;
TR04-3S1&lt;BR&gt;
TRG04-2S1&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
DVR-43A&lt;BR&gt;
CVR-42C&lt;BR&gt;
CVR-42A/C&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;/TABLE&gt;
&lt;P&gt;
&lt;FONT SIZE=4 FACE=ARIAL&gt;&lt;B&gt;ALT =&amp;nbsp;ALTERNATE&lt;/B&gt;&lt;/FONT&gt;
&lt;P&gt;
&amp;nbsp;
&lt;P&gt;
&lt;/td&gt;
&lt;/tr&gt;
&lt;/table&gt;</description>
<packages>
<package name="C0805">
<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;</description>
<wire x1="-1.973" y1="0.983" x2="1.973" y2="0.983" width="0.0508" layer="39"/>
<wire x1="1.973" y1="-0.983" x2="-1.973" y2="-0.983" width="0.0508" layer="39"/>
<wire x1="-1.973" y1="-0.983" x2="-1.973" y2="0.983" width="0.0508" layer="39"/>
<wire x1="-0.381" y1="0.66" x2="0.381" y2="0.66" width="0.1016" layer="51"/>
<wire x1="-0.356" y1="-0.66" x2="0.381" y2="-0.66" width="0.1016" layer="51"/>
<wire x1="1.973" y1="0.983" x2="1.973" y2="-0.983" width="0.0508" layer="39"/>
<smd name="1" x="-0.95" y="0" dx="1.3" dy="1.5" layer="1"/>
<smd name="2" x="0.95" y="0" dx="1.3" dy="1.5" layer="1"/>
<text x="-1.27" y="1.27" size="1.27" layer="25">&gt;NAME</text>
<text x="-1.27" y="-2.54" size="1.27" layer="27">&gt;VALUE</text>
<rectangle x1="-1.0922" y1="-0.7239" x2="-0.3421" y2="0.7262" layer="51"/>
<rectangle x1="0.3556" y1="-0.7239" x2="1.1057" y2="0.7262" layer="51"/>
<rectangle x1="-0.1001" y1="-0.4001" x2="0.1001" y2="0.4001" layer="35"/>
</package>
<package name="UD-10X10_NICHICON">
<description>&lt;b&gt;ALUMINUM ELECTROLYTIC CAPACITORS&lt;/b&gt; UD Series 10 x 10 mm&lt;p&gt;
Source: http://products.nichicon.co.jp/en/pdf/XJA043/e-ud.pdf</description>
<wire x1="-5.1" y1="5.1" x2="4.3" y2="5.1" width="0.1016" layer="51"/>
<wire x1="4.3" y1="5.1" x2="5.1" y2="4.3" width="0.1016" layer="51"/>
<wire x1="5.1" y1="4.3" x2="5.1" y2="-4.3" width="0.1016" layer="51"/>
<wire x1="5.1" y1="-4.3" x2="4.3" y2="-5.1" width="0.1016" layer="51"/>
<wire x1="4.3" y1="-5.1" x2="-5.1" y2="-5.1" width="0.1016" layer="51"/>
<wire x1="-5.1" y1="-5.1" x2="-5.1" y2="5.1" width="0.1016" layer="51"/>
<wire x1="-4.875" y1="0.85" x2="4.875" y2="0.85" width="0.1016" layer="21" curve="-160.259855"/>
<wire x1="-5.1" y1="0.875" x2="-5.1" y2="5.1" width="0.1016" layer="21"/>
<wire x1="-5.1" y1="5.1" x2="4.3" y2="5.1" width="0.1016" layer="21"/>
<wire x1="4.3" y1="5.1" x2="5.1" y2="4.3" width="0.1016" layer="21"/>
<wire x1="5.1" y1="-4.3" x2="4.3" y2="-5.1" width="0.1016" layer="21"/>
<wire x1="4.3" y1="-5.1" x2="-5.1" y2="-5.1" width="0.1016" layer="21"/>
<wire x1="-5.1" y1="-5.1" x2="-5.1" y2="-0.85" width="0.1016" layer="21"/>
<wire x1="4.875" y1="-0.85" x2="-4.875" y2="-0.85" width="0.1016" layer="21" curve="-160.259855"/>
<circle x="0" y="0" radius="4.95" width="0.1016" layer="51"/>
<smd name="-" x="-3.95" y="0" dx="3.6" dy="1.3" layer="1"/>
<smd name="+" x="3.925" y="0" dx="3.6" dy="1.3" layer="1"/>
<text x="-5.08" y="5.715" size="1.016" layer="25">&gt;NAME</text>
<text x="-5.08" y="-6.35" size="1.016" layer="27">&gt;VALUE</text>
<polygon width="0.1016" layer="51">
<vertex x="-4.05" y="2.8" curve="69.465624"/>
<vertex x="-4.05" y="-2.825"/>
</polygon>
</package>
<package name="R0805">
<description>&lt;b&gt;RESISTOR&lt;/b&gt;&lt;p&gt;</description>
<wire x1="-0.41" y1="0.635" x2="0.41" y2="0.635" width="0.1524" layer="51"/>
<wire x1="-0.41" y1="-0.635" x2="0.41" y2="-0.635" width="0.1524" layer="51"/>
<wire x1="-1.973" y1="0.983" x2="1.973" y2="0.983" width="0.0508" layer="39"/>
<wire x1="1.973" y1="0.983" x2="1.973" y2="-0.983" width="0.0508" layer="39"/>
<wire x1="1.973" y1="-0.983" x2="-1.973" y2="-0.983" width="0.0508" layer="39"/>
<wire x1="-1.973" y1="-0.983" x2="-1.973" y2="0.983" width="0.0508" layer="39"/>
<smd name="1" x="-0.95" y="0" dx="1.3" dy="1.5" layer="1"/>
<smd name="2" x="0.95" y="0" dx="1.3" dy="1.5" layer="1"/>
<text x="-0.635" y="1.27" size="1.27" layer="25">&gt;NAME</text>
<text x="-0.635" y="-2.54" size="1.27" layer="27">&gt;VALUE</text>
<rectangle x1="0.4064" y1="-0.6985" x2="1.0564" y2="0.7015" layer="51"/>
<rectangle x1="-1.0668" y1="-0.6985" x2="-0.4168" y2="0.7015" layer="51"/>
<rectangle x1="-0.1999" y1="-0.5001" x2="0.1999" y2="0.5001" layer="35"/>
</package>
</packages>
</library>
<library name="diode" urn="urn:adsk.eagle:library:210">
<description>&lt;b&gt;Diodes&lt;/b&gt;&lt;p&gt;
Based on the following sources:
&lt;ul&gt;
&lt;li&gt;Motorola : www.onsemi.com
&lt;li&gt;Fairchild : www.fairchildsemi.com
&lt;li&gt;Philips : www.semiconductors.com
&lt;li&gt;Vishay : www.vishay.de
&lt;/ul&gt;
&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
<packages>
<package name="SOD-123_MINI-SMA" urn="urn:adsk.eagle:footprint:43241/1" library_version="2">
<description>&lt;b&gt;Molded plasitc,JEDEC SOD-123/Mini SMA&lt;/b&gt;&lt;p&gt;
Source: Comchip CGRM4001-G.pdf</description>
<wire x1="-1.8725" y1="0.835" x2="1.8725" y2="0.835" width="0.127" layer="51"/>
<wire x1="-1.8725" y1="-0.835" x2="1.8725" y2="-0.835" width="0.127" layer="51"/>
<wire x1="-0.3175" y1="0" x2="0.3175" y2="-0.4763" width="0.127" layer="21"/>
<wire x1="0.3175" y1="-0.4763" x2="0.3175" y2="0" width="0.127" layer="21"/>
<wire x1="0.3175" y1="0" x2="0.3175" y2="0.4763" width="0.127" layer="21"/>
<wire x1="0.3175" y1="0.4763" x2="-0.3175" y2="0" width="0.127" layer="21"/>
<wire x1="-0.3175" y1="0" x2="-0.3175" y2="-0.4763" width="0.127" layer="21"/>
<wire x1="-0.3175" y1="0" x2="-0.3175" y2="0.4763" width="0.127" layer="21"/>
<wire x1="0.3175" y1="0" x2="0.5613" y2="0" width="0.127" layer="21"/>
<wire x1="-0.3175" y1="0" x2="-0.5613" y2="0" width="0.127" layer="21"/>
<smd name="A" x="1.8" y="0" dx="1.5" dy="2.1" layer="1" rot="R180"/>
<smd name="C" x="-1.8" y="0" dx="1.5" dy="2.1" layer="1" rot="R180"/>
<text x="-3.048" y="1.143" size="1.27" layer="25">&gt;NAME</text>
<text x="-3.048" y="-2.413" size="1.27" layer="27">&gt;VALUE</text>
<rectangle x1="-1.95" y1="-0.9" x2="-1.5875" y2="0.9" layer="51"/>
<rectangle x1="1.5875" y1="-0.9" x2="1.95" y2="0.9" layer="51"/>
<rectangle x1="-1.0249" y1="-0.9" x2="-0.6625" y2="0.9" layer="51"/>
</package>
</packages>
<packages3d>
<package3d name="SOD-123_MINI-SMA" urn="urn:adsk.eagle:package:43457/2" type="model" library_version="2">
<description>Molded plasitc,JEDEC SOD-123/Mini SMA
Source: Comchip CGRM4001-G.pdf</description>
<packageinstances>
<packageinstance name="SOD-123_MINI-SMA"/>
</packageinstances>
</package3d>
</packages3d>
</library>
<library name="atmel">
<description>&lt;b&gt;AVR Devices&lt;/b&gt;&lt;p&gt;
Configurable logic, microcontrollers, nonvolatile memories&lt;p&gt;
Based on the following sources:&lt;p&gt;
&lt;ul&gt;
&lt;li&gt;www.atmel.com
&lt;li&gt;CD-ROM : Configurable Logic Microcontroller Nonvolatile Memory
&lt;li&gt;CadSoft download site, www.cadsoft.de or www.cadsoftusa.com , file at90smcu_v400.zip
&lt;li&gt;avr.lbr
&lt;/ul&gt;
&lt;author&gt;Revised by librarian@cadsoft.de&lt;/author&gt;</description>
<packages>
<package name="SO20L">
<description>&lt;B&gt;Small Outline Package&lt;/B&gt; SOIC 0.300"</description>
<wire x1="-5.639" y1="-4.064" x2="6.909" y2="-4.064" width="0.1524" layer="21"/>
<wire x1="6.909" y1="-4.064" x2="6.909" y2="3.277" width="0.1524" layer="21"/>
<wire x1="6.909" y1="3.277" x2="-5.639" y2="3.277" width="0.1524" layer="21"/>
<wire x1="-5.639" y1="3.277" x2="-5.639" y2="-4.064" width="0.1524" layer="21"/>
<circle x="-4.7498" y="-3.1496" radius="0.5334" width="0.1524" layer="21"/>
<smd name="1" x="-5.08" y="-5.1308" dx="0.762" dy="1.143" layer="1"/>
<smd name="2" x="-3.81" y="-5.1308" dx="0.762" dy="1.143" layer="1"/>
<smd name="3" x="-2.54" y="-5.1308" dx="0.762" dy="1.143" layer="1"/>
<smd name="4" x="-1.27" y="-5.1308" dx="0.762" dy="1.143" layer="1"/>
<smd name="5" x="0" y="-5.1308" dx="0.762" dy="1.143" layer="1"/>
<smd name="6" x="1.27" y="-5.1308" dx="0.762" dy="1.143" layer="1"/>
<smd name="7" x="2.54" y="-5.1308" dx="0.762" dy="1.143" layer="1"/>
<smd name="8" x="3.81" y="-5.1308" dx="0.762" dy="1.143" layer="1"/>
<smd name="9" x="5.08" y="-5.1308" dx="0.762" dy="1.143" layer="1"/>
<smd name="10" x="6.35" y="-5.1308" dx="0.762" dy="1.143" layer="1"/>
<smd name="12" x="5.08" y="4.3942" dx="0.762" dy="1.143" layer="1"/>
<smd name="11" x="6.35" y="4.3688" dx="0.762" dy="1.143" layer="1"/>
<smd name="13" x="3.81" y="4.3942" dx="0.762" dy="1.143" layer="1"/>
<smd name="14" x="2.54" y="4.3942" dx="0.762" dy="1.143" layer="1"/>
<smd name="15" x="1.27" y="4.3942" dx="0.762" dy="1.143" layer="1"/>
<smd name="16" x="0" y="4.3942" dx="0.762" dy="1.143" layer="1"/>
<smd name="17" x="-1.27" y="4.3942" dx="0.762" dy="1.143" layer="1"/>
<smd name="18" x="-2.54" y="4.3942" dx="0.762" dy="1.143" layer="1"/>
<smd name="19" x="-3.81" y="4.3942" dx="0.762" dy="1.143" layer="1"/>
<smd name="20" x="-5.08" y="4.3942" dx="0.762" dy="1.143" layer="1"/>
<text x="-6.0706" y="-3.81" size="1.778" layer="25" ratio="10" rot="R90">&gt;NAME</text>
<text x="-4.445" y="-1.5494" size="1.778" layer="27" ratio="10">&gt;VALUE</text>
<rectangle x1="6.1468" y1="3.3274" x2="6.5532" y2="4.6482" layer="51"/>
<rectangle x1="4.8768" y1="3.3274" x2="5.2832" y2="4.6482" layer="51"/>
<rectangle x1="3.6068" y1="3.3274" x2="4.0132" y2="4.6482" layer="51"/>
<rectangle x1="2.3368" y1="3.3274" x2="2.7432" y2="4.6482" layer="51"/>
<rectangle x1="1.0668" y1="3.3274" x2="1.4732" y2="4.6482" layer="51"/>
<rectangle x1="-0.2032" y1="3.3274" x2="0.2032" y2="4.6482" layer="51"/>
<rectangle x1="-1.4732" y1="3.3274" x2="-1.0668" y2="4.6482" layer="51"/>
<rectangle x1="-2.7432" y1="3.3274" x2="-2.3368" y2="4.6482" layer="51"/>
<rectangle x1="-4.0132" y1="3.3274" x2="-3.6068" y2="4.6482" layer="51"/>
<rectangle x1="-5.2832" y1="3.3274" x2="-4.8768" y2="4.6482" layer="51"/>
<rectangle x1="6.1468" y1="-5.4356" x2="6.5532" y2="-4.1148" layer="51"/>
<rectangle x1="4.8768" y1="-5.4356" x2="5.2832" y2="-4.1148" layer="51"/>
<rectangle x1="3.6068" y1="-5.4356" x2="4.0132" y2="-4.1148" layer="51"/>
<rectangle x1="2.3368" y1="-5.4356" x2="2.7432" y2="-4.1148" layer="51"/>
<rectangle x1="1.0668" y1="-5.4356" x2="1.4732" y2="-4.1148" layer="51"/>
<rectangle x1="-0.2032" y1="-5.4356" x2="0.2032" y2="-4.1148" layer="51"/>
<rectangle x1="-1.4732" y1="-5.4356" x2="-1.0668" y2="-4.1148" layer="51"/>
<rectangle x1="-2.7432" y1="-5.4356" x2="-2.3368" y2="-4.1148" layer="51"/>
<rectangle x1="-4.0132" y1="-5.4356" x2="-3.6068" y2="-4.1148" layer="51"/>
<rectangle x1="-5.2832" y1="-5.4356" x2="-4.8768" y2="-4.1148" layer="51"/>
</package>
</packages>
</library>
<library name="optocoupler" urn="urn:adsk.eagle:library:320">
<description>&lt;b&gt;Opto Couplers&lt;/b&gt;&lt;p&gt;
Siemens, Hewlett-Packard, Texas Instuments, Sharp, Motorola&lt;p&gt;
&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
<packages>
<package name="SOIC08" urn="urn:adsk.eagle:footprint:21892/1" library_version="1">
<description>&lt;b&gt;Small Outline Package&lt;/b&gt;</description>
<wire x1="-2.54" y1="-1.6002" x2="2.54" y2="-1.6002" width="0.0508" layer="21"/>
<wire x1="-2.54" y1="1.778" x2="-2.286" y2="2.032" width="0.1524" layer="21" curve="-90"/>
<wire x1="-1.397" y1="2.032" x2="-1.143" y2="2.032" width="0.1524" layer="21"/>
<wire x1="-0.127" y1="2.032" x2="0.127" y2="2.032" width="0.1524" layer="21"/>
<wire x1="-2.286" y1="2.032" x2="-1.397" y2="2.032" width="0.1524" layer="51"/>
<wire x1="-1.143" y1="2.032" x2="-0.127" y2="2.032" width="0.1524" layer="51"/>
<wire x1="0.127" y1="2.032" x2="1.143" y2="2.032" width="0.1524" layer="51"/>
<wire x1="1.143" y1="2.032" x2="1.397" y2="2.032" width="0.1524" layer="21"/>
<wire x1="1.397" y1="2.032" x2="2.286" y2="2.032" width="0.1524" layer="51"/>
<wire x1="2.286" y1="2.032" x2="2.54" y2="1.778" width="0.1524" layer="21" curve="-90"/>
<wire x1="-2.286" y1="-2.032" x2="-1.397" y2="-2.032" width="0.1524" layer="51"/>
<wire x1="-1.397" y1="-2.032" x2="-1.143" y2="-2.032" width="0.1524" layer="21"/>
<wire x1="-1.143" y1="-2.032" x2="-0.127" y2="-2.032" width="0.1524" layer="51"/>
<wire x1="-0.127" y1="-2.032" x2="0.127" y2="-2.032" width="0.1524" layer="21"/>
<wire x1="0.127" y1="-2.032" x2="1.143" y2="-2.032" width="0.1524" layer="51"/>
<wire x1="1.143" y1="-2.032" x2="1.397" y2="-2.032" width="0.1524" layer="21"/>
<wire x1="1.397" y1="-2.032" x2="2.286" y2="-2.032" width="0.1524" layer="51"/>
<wire x1="2.286" y1="-2.032" x2="2.54" y2="-1.778" width="0.1524" layer="21" curve="90"/>
<wire x1="2.54" y1="1.778" x2="2.54" y2="-1.778" width="0.1524" layer="21"/>
<wire x1="-2.54" y1="1.778" x2="-2.54" y2="-1.778" width="0.1524" layer="21"/>
<wire x1="-2.54" y1="-1.778" x2="-2.286" y2="-2.032" width="0.1524" layer="21" curve="90"/>
<circle x="-1.778" y="-1.016" radius="0.381" width="0.1524" layer="21"/>
<smd name="1" x="-1.905" y="-3.0734" dx="0.6604" dy="2.032" layer="1"/>
<smd name="8" x="-1.905" y="3.0734" dx="0.6604" dy="2.032" layer="1"/>
<smd name="2" x="-0.635" y="-3.0734" dx="0.6604" dy="2.032" layer="1"/>
<smd name="3" x="0.635" y="-3.0734" dx="0.6604" dy="2.032" layer="1"/>
<smd name="7" x="-0.635" y="3.0734" dx="0.6604" dy="2.032" layer="1"/>
<smd name="6" x="0.635" y="3.0734" dx="0.6604" dy="2.032" layer="1"/>
<smd name="4" x="1.905" y="-3.0734" dx="0.6604" dy="2.032" layer="1"/>
<smd name="5" x="1.905" y="3.0734" dx="0.6604" dy="2.032" layer="1"/>
<text x="4.191" y="-2.032" size="1.27" layer="27" ratio="10" rot="R90">&gt;VALUE</text>
<text x="-2.921" y="-1.905" size="1.27" layer="25" ratio="10" rot="R90">&gt;NAME</text>
<rectangle x1="1.651" y1="1.9558" x2="2.159" y2="3.0988" layer="51"/>
<rectangle x1="-2.159" y1="-3.0988" x2="-1.651" y2="-1.9558" layer="51"/>
<rectangle x1="-0.889" y1="-3.0988" x2="-0.381" y2="-1.9558" layer="51"/>
<rectangle x1="0.381" y1="-3.0988" x2="0.889" y2="-1.9558" layer="51"/>
<rectangle x1="1.651" y1="-3.0988" x2="2.159" y2="-1.9558" layer="51"/>
<rectangle x1="0.381" y1="1.9558" x2="0.889" y2="3.0988" layer="51"/>
<rectangle x1="-0.889" y1="1.9558" x2="-0.381" y2="3.0988" layer="51"/>
<rectangle x1="-2.159" y1="1.9558" x2="-1.651" y2="3.0988" layer="51"/>
</package>
</packages>
<packages3d>
<package3d name="SOIC08" urn="urn:adsk.eagle:package:21945/1" type="box" library_version="1">
<description>Small Outline Package</description>
<packageinstances>
<packageinstance name="SOIC08"/>
</packageinstances>
</package3d>
</packages3d>
</library>
<library name="crystal">
<description>&lt;b&gt;Crystals and Crystal Resonators&lt;/b&gt;&lt;p&gt;
&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
<packages>
<package name="HC49UP">
<description>&lt;b&gt;CRYSTAL&lt;/b&gt;</description>
<wire x1="-5.1091" y1="1.143" x2="-3.429" y2="2.0321" width="0.0508" layer="21" curve="-55.770993"/>
<wire x1="-5.715" y1="1.143" x2="-5.715" y2="2.159" width="0.1524" layer="21"/>
<wire x1="3.429" y1="2.032" x2="5.1091" y2="1.143" width="0.0508" layer="21" curve="-55.772485"/>
<wire x1="5.715" y1="1.143" x2="5.715" y2="2.159" width="0.1524" layer="21"/>
<wire x1="3.429" y1="-1.27" x2="-3.429" y2="-1.27" width="0.0508" layer="21"/>
<wire x1="3.429" y1="-2.032" x2="-3.429" y2="-2.032" width="0.0508" layer="21"/>
<wire x1="-3.429" y1="1.27" x2="3.429" y2="1.27" width="0.0508" layer="21"/>
<wire x1="5.461" y1="-2.413" x2="-5.461" y2="-2.413" width="0.1524" layer="21"/>
<wire x1="5.715" y1="-0.381" x2="6.477" y2="-0.381" width="0.1524" layer="51"/>
<wire x1="5.715" y1="0.381" x2="6.477" y2="0.381" width="0.1524" layer="51"/>
<wire x1="6.477" y1="-0.381" x2="6.477" y2="0.381" width="0.1524" layer="51"/>
<wire x1="5.461" y1="-2.413" x2="5.715" y2="-2.159" width="0.1524" layer="21" curve="90"/>
<wire x1="5.715" y1="-1.143" x2="5.715" y2="1.143" width="0.1524" layer="51"/>
<wire x1="5.715" y1="-2.159" x2="5.715" y2="-1.143" width="0.1524" layer="21"/>
<wire x1="3.429" y1="-1.27" x2="3.9826" y2="-1.143" width="0.0508" layer="21" curve="25.842828"/>
<wire x1="3.429" y1="1.27" x2="3.9826" y2="1.143" width="0.0508" layer="21" curve="-25.842828"/>
<wire x1="3.429" y1="-2.032" x2="5.109" y2="-1.1429" width="0.0508" layer="21" curve="55.771157"/>
<wire x1="3.9826" y1="-1.143" x2="3.9826" y2="1.143" width="0.0508" layer="51" curve="128.314524"/>
<wire x1="5.1091" y1="-1.143" x2="5.1091" y2="1.143" width="0.0508" layer="51" curve="68.456213"/>
<wire x1="-5.1091" y1="-1.143" x2="-3.429" y2="-2.032" width="0.0508" layer="21" curve="55.772485"/>
<wire x1="-3.9826" y1="-1.143" x2="-3.9826" y2="1.143" width="0.0508" layer="51" curve="-128.314524"/>
<wire x1="-3.9826" y1="-1.143" x2="-3.429" y2="-1.27" width="0.0508" layer="21" curve="25.842828"/>
<wire x1="-3.9826" y1="1.143" x2="-3.429" y2="1.27" width="0.0508" layer="21" curve="-25.842828"/>
<wire x1="-6.477" y1="-0.381" x2="-6.477" y2="0.381" width="0.1524" layer="51"/>
<wire x1="-5.1091" y1="-1.143" x2="-5.1091" y2="1.143" width="0.0508" layer="51" curve="-68.456213"/>
<wire x1="-5.715" y1="-1.143" x2="-5.715" y2="-0.381" width="0.1524" layer="51"/>
<wire x1="-5.715" y1="-0.381" x2="-5.715" y2="0.381" width="0.1524" layer="51"/>
<wire x1="-5.715" y1="0.381" x2="-5.715" y2="1.143" width="0.1524" layer="51"/>
<wire x1="-5.715" y1="-2.159" x2="-5.715" y2="-1.143" width="0.1524" layer="21"/>
<wire x1="-5.715" y1="-2.159" x2="-5.461" y2="-2.413" width="0.1524" layer="21" curve="90"/>
<wire x1="-5.715" y1="-0.381" x2="-6.477" y2="-0.381" width="0.1524" layer="51"/>
<wire x1="-5.715" y1="0.381" x2="-6.477" y2="0.381" width="0.1524" layer="51"/>
<wire x1="-3.429" y1="2.032" x2="3.429" y2="2.032" width="0.0508" layer="21"/>
<wire x1="5.461" y1="2.413" x2="-5.461" y2="2.413" width="0.1524" layer="21"/>
<wire x1="5.461" y1="2.413" x2="5.715" y2="2.159" width="0.1524" layer="21" curve="-90"/>
<wire x1="-5.715" y1="2.159" x2="-5.461" y2="2.413" width="0.1524" layer="21" curve="-90"/>
<wire x1="-0.254" y1="0.635" x2="-0.254" y2="-0.635" width="0.1524" layer="21"/>
<wire x1="-0.254" y1="-0.635" x2="0.254" y2="-0.635" width="0.1524" layer="21"/>
<wire x1="0.254" y1="-0.635" x2="0.254" y2="0.635" width="0.1524" layer="21"/>
<wire x1="0.254" y1="0.635" x2="-0.254" y2="0.635" width="0.1524" layer="21"/>
<wire x1="-0.635" y1="0.635" x2="-0.635" y2="0" width="0.1524" layer="21"/>
<wire x1="-0.635" y1="0" x2="-0.635" y2="-0.635" width="0.1524" layer="21"/>
<wire x1="-0.635" y1="0" x2="-1.016" y2="0" width="0.0508" layer="21"/>
<wire x1="0.635" y1="0.635" x2="0.635" y2="0" width="0.1524" layer="21"/>
<wire x1="0.635" y1="0" x2="0.635" y2="-0.635" width="0.1524" layer="21"/>
<wire x1="0.635" y1="0" x2="1.016" y2="0" width="0.0508" layer="21"/>
<smd name="1" x="-4.826" y="0" dx="5.334" dy="1.9304" layer="1"/>
<smd name="2" x="4.826" y="0" dx="5.334" dy="1.9304" layer="1"/>
<text x="-5.715" y="2.794" size="1.27" layer="25" ratio="10">&gt;NAME</text>
<text x="-5.715" y="-4.191" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
<rectangle x1="-6.604" y1="-3.048" x2="6.604" y2="3.048" layer="43"/>
</package>
</packages>
</library>
<library name="raspberrypi_bastelstube_v13">
<description>&lt;b&gt;Raspberry Pi&lt;/b&gt; by &lt;b&gt;Martin's Bastelstube&lt;/b&gt;
&lt;p&gt;
Different shapes of piggyback boards for the famous &amp;amp; fabulous
&lt;a href="http://www.raspberrypi.org/"&gt;Raspberry Pi&lt;/a&gt; computer.
&lt;p&gt;
The outline of the Raspberry Pi board is drawn on layer 52 bDocu, so you can make it invisible if necessary.&lt;br/&gt;
Recommendation: Start your design with RASPI_BOARD_*_FULL, so you are aware of all the big components and
connectors when you're drawing the dimensions of your board and placing your own components.&lt;br/&gt;
Later, you can simply change the package of your Raspberry Pi connector to the RASPI_BOARD_*_EDGES type,
so you can concentrate on your own design.
&lt;p&gt;
Most of my board packages don't have the outline drawn in layer 20 Dimension (except the HATs). This allows you to deviate from the original Raspberry Pi board shape, e.g. when you need more space behind the GPIO connector for the routing of the power supply lines. If you want to stick exactly to the Raspberry Pi board shape, then just draw the Dimension layer right on the lines in layer bDocu.
&lt;hr&gt;&lt;br/&gt;
Version 1.3
&lt;ul&gt;
&lt;li&gt;Added the shape and dimensions of the HAT board with &amp;amp; without the camera and display flex cutout&lt;/li&gt;
&lt;li&gt;New GPIO connector version (suffix &amp;quot;#&amp;quot;) with all pins connected (no more &amp;quot;n.c.&amp;quot; pins)&lt;/li&gt;
&lt;li&gt;Two more &amp;quot;AB-&amp;quot; boards with 5 and 6 drill holes / pads, mechanically compatible with all RasPi versions&lt;/li&gt;
&lt;/ul&gt;
Version 1.2
&lt;ul&gt;
&lt;li&gt;Added a 26-pin GPIO connector with board dimensions of model B+&lt;br/&gt;
for backwards compatibility with models A and B, named &amp;quot;B-&amp;quot;&lt;/li&gt;
&lt;/ul&gt;
Version 1.1
&lt;ul&gt;
&lt;li&gt;New GPIO connector and board dimensions of model B+&lt;/li&gt;
&lt;li&gt;Old connector and board (for models A and B) renamed to AB&lt;/li&gt;
&lt;li&gt;GPIO pin numbers on connector changed to match Rev2 board design&lt;/li&gt;
&lt;/ul&gt;
Version 1.0
&lt;ul&gt;
&lt;li&gt;More detailed descriptions for all components&lt;/li&gt;
&lt;li&gt;Published on Cadsoft webpage&lt;/li&gt;
&lt;/ul&gt;
&lt;hr&gt;&lt;br/&gt;
Designed by &lt;a href="http://bastelstube.rocci.net/"&gt;Martin's Bastelstube&lt;/a&gt;&lt;br&gt;
Comments / complaints / bug reports / suggestions to &lt;author&gt;eightbit@web.de&lt;/author&gt;</description>
<packages>
<package name="RASPI_BOARD_B+HAT">
<description>Raspberry Pi board model B+ HAT board&lt;br/&gt;
Official outline, but without cutouts for display &amp;amp; camera flex connector</description>
<wire x1="0" y1="3" x2="3" y2="0" width="0" layer="20" curve="90"/>
<wire x1="3" y1="0" x2="62" y2="0" width="0" layer="20"/>
<wire x1="62" y1="0" x2="65" y2="3" width="0" layer="20" curve="90"/>
<wire x1="65" y1="3" x2="65" y2="53" width="0" layer="20"/>
<wire x1="65" y1="53" x2="62" y2="56" width="0" layer="20" curve="90"/>
<wire x1="62" y1="56" x2="3" y2="56" width="0" layer="20"/>
<wire x1="3" y1="56" x2="0" y2="53" width="0" layer="20" curve="90"/>
<hole x="3.5" y="3.5" drill="2.75"/>
<hole x="3.5" y="52.5" drill="2.75"/>
<hole x="61.5" y="3.5" drill="2.75"/>
<hole x="61.5" y="52.5" drill="2.75"/>
<pad name="1" x="8.37" y="51.23" drill="1" diameter="1.778" shape="square"/>
<pad name="2" x="8.37" y="53.77" drill="1" diameter="1.778"/>
<pad name="3" x="10.91" y="51.23" drill="1" diameter="1.778"/>
<pad name="4" x="10.91" y="53.77" drill="1" diameter="1.778"/>
<pad name="5" x="13.45" y="51.23" drill="1" diameter="1.778"/>
<pad name="6" x="13.45" y="53.77" drill="1" diameter="1.778"/>
<pad name="7" x="15.99" y="51.23" drill="1" diameter="1.778"/>
<pad name="8" x="15.99" y="53.77" drill="1" diameter="1.778"/>
<pad name="9" x="18.53" y="51.23" drill="1" diameter="1.778"/>
<pad name="10" x="18.53" y="53.77" drill="1" diameter="1.778"/>
<pad name="11" x="21.07" y="51.23" drill="1" diameter="1.778"/>
<pad name="12" x="21.07" y="53.77" drill="1" diameter="1.778"/>
<pad name="13" x="23.61" y="51.23" drill="1" diameter="1.778"/>
<pad name="14" x="23.61" y="53.77" drill="1" diameter="1.778"/>
<pad name="15" x="26.15" y="51.23" drill="1" diameter="1.778"/>
<pad name="16" x="26.15" y="53.77" drill="1" diameter="1.778"/>
<pad name="17" x="28.69" y="51.23" drill="1" diameter="1.778"/>
<pad name="18" x="28.69" y="53.77" drill="1" diameter="1.778"/>
<pad name="19" x="31.23" y="51.23" drill="1" diameter="1.778"/>
<pad name="20" x="31.23" y="53.77" drill="1" diameter="1.778"/>
<pad name="21" x="33.77" y="51.23" drill="1" diameter="1.778"/>
<pad name="22" x="33.77" y="53.77" drill="1" diameter="1.778"/>
<pad name="23" x="36.31" y="51.23" drill="1" diameter="1.778"/>
<pad name="24" x="36.31" y="53.77" drill="1" diameter="1.778"/>
<pad name="25" x="38.85" y="51.23" drill="1" diameter="1.778"/>
<pad name="26" x="38.85" y="53.77" drill="1" diameter="1.778"/>
<pad name="27" x="41.39" y="51.23" drill="1" diameter="1.778"/>
<pad name="28" x="41.39" y="53.77" drill="1" diameter="1.778"/>
<pad name="29" x="43.93" y="51.23" drill="1" diameter="1.778"/>
<pad name="30" x="43.93" y="53.77" drill="1" diameter="1.778"/>
<pad name="31" x="46.47" y="51.23" drill="1" diameter="1.778"/>
<pad name="32" x="46.47" y="53.77" drill="1" diameter="1.778"/>
<pad name="33" x="49.01" y="51.23" drill="1" diameter="1.778"/>
<pad name="34" x="49.01" y="53.77" drill="1" diameter="1.778"/>
<pad name="35" x="51.55" y="51.23" drill="1" diameter="1.778"/>
<pad name="36" x="51.55" y="53.77" drill="1" diameter="1.778"/>
<pad name="37" x="54.09" y="51.23" drill="1" diameter="1.778"/>
<pad name="38" x="54.09" y="53.77" drill="1" diameter="1.778"/>
<pad name="39" x="56.63" y="51.23" drill="1" diameter="1.778"/>
<pad name="40" x="56.63" y="53.77" drill="1" diameter="1.778"/>
<wire x1="7.1" y1="55.04" x2="7.1" y2="52.5" width="0.127" layer="21"/>
<wire x1="7.1" y1="52.5" x2="7.1" y2="49.96" width="0.127" layer="21"/>
<wire x1="7.1" y1="49.96" x2="8.0525" y2="49.96" width="0.127" layer="21"/>
<wire x1="8.0525" y1="49.96" x2="8.6875" y2="49.96" width="0.127" layer="21"/>
<wire x1="8.6875" y1="49.96" x2="9.64" y2="49.96" width="0.127" layer="21"/>
<wire x1="9.64" y1="49.96" x2="57.9" y2="49.96" width="0.127" layer="21"/>
<wire x1="57.9" y1="49.96" x2="57.9" y2="55.04" width="0.127" layer="21"/>
<wire x1="57.9" y1="55.04" x2="7.1" y2="55.04" width="0.127" layer="21"/>
<wire x1="7.1" y1="52.5" x2="9.64" y2="52.5" width="0.127" layer="21"/>
<wire x1="9.64" y1="52.5" x2="9.64" y2="49.96" width="0.127" layer="21"/>
<wire x1="8.0525" y1="49.96" x2="8.0525" y2="49.6425" width="0.127" layer="21"/>
<wire x1="8.0525" y1="49.6425" x2="8.6875" y2="49.6425" width="0.127" layer="21"/>
<wire x1="8.6875" y1="49.6425" x2="8.6875" y2="49.96" width="0.127" layer="21"/>
<text x="8.0525" y="48.3725" size="1.016" layer="21" font="vector" ratio="10">1</text>
<circle x="3.5" y="3.5" radius="3.2" width="0.127" layer="52"/>
<circle x="61.5" y="3.5" radius="3.2" width="0.127" layer="52"/>
<circle x="61.5" y="52.5" radius="3.2" width="0.127" layer="52"/>
<circle x="3.5" y="52.5" radius="3.2" width="0.127" layer="52"/>
<circle x="3.5" y="52.5" radius="3.2" width="0" layer="29"/>
<circle x="61.5" y="52.5" radius="3.2" width="0" layer="29"/>
<circle x="3.5" y="3.5" radius="3.2" width="0" layer="29"/>
<circle x="61.5" y="3.5" radius="3.2" width="0" layer="29"/>
<circle x="3.5" y="52.5" radius="3.2" width="0" layer="30"/>
<circle x="61.5" y="52.5" radius="3.2" width="0" layer="30"/>
<circle x="61.5" y="3.5" radius="3.2" width="0" layer="30"/>
<circle x="3.5" y="3.5" radius="3.2" width="0" layer="30"/>
<wire x1="0" y1="3" x2="0" y2="53" width="0" layer="20"/>
</package>
</packages>
</library>
<library name="con-ria182">
<description>&lt;h2&gt;RIA Connectors&lt;/h2&gt;
&lt;b&gt;RIA 182&lt;br&gt;
RIA 169&lt;/b&gt;&lt;br&gt;
&lt;br&gt;
www.HarryGr.de</description>
<packages>
<package name="RIA182-04">
<wire x1="-1.3" y1="0.1" x2="-0.9" y2="0.1" width="0.127" layer="21"/>
<wire x1="-0.9" y1="0.1" x2="-0.5" y2="0.1" width="0.127" layer="21"/>
<wire x1="-0.5" y1="0.1" x2="0.5" y2="0.1" width="0.127" layer="21"/>
<wire x1="0.5" y1="0.1" x2="0.9" y2="0.1" width="0.127" layer="21"/>
<wire x1="0.9" y1="0.1" x2="1.3" y2="0.1" width="0.127" layer="21"/>
<wire x1="1.3" y1="0.1" x2="1.4" y2="0.1" width="0.127" layer="21"/>
<wire x1="1.3" y1="0.1" x2="1.3" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-1.3" y1="-2.1" x2="-0.9" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-0.9" y1="-2.1" x2="-0.5" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-0.5" y1="-2.1" x2="0.5" y2="-2.1" width="0.127" layer="21"/>
<wire x1="0.5" y1="-2.1" x2="0.9" y2="-2.1" width="0.127" layer="21"/>
<wire x1="0.9" y1="-2.1" x2="1.3" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-1.3" y1="0.1" x2="-1.3" y2="-2.1" width="0.127" layer="21"/>
<wire x1="1.4" y1="0.1" x2="1.4" y2="1" width="0.127" layer="21"/>
<wire x1="1.4" y1="1" x2="1.1" y2="1.3" width="0.127" layer="21" curve="90"/>
<wire x1="-0.5" y1="0.8" x2="-0.5" y2="1" width="0.127" layer="21"/>
<wire x1="-0.5" y1="0.8" x2="0.5" y2="0.8" width="0.127" layer="21"/>
<wire x1="0.5" y1="0.8" x2="0.5" y2="1" width="0.127" layer="21"/>
<wire x1="-0.5" y1="1" x2="-0.8" y2="1.3" width="0.127" layer="21" curve="90"/>
<wire x1="0.5" y1="1" x2="0.8" y2="1.3" width="0.127" layer="21" curve="-90"/>
<wire x1="0.8" y1="1.3" x2="1.1" y2="1.3" width="0.127" layer="21"/>
<wire x1="-1.4" y1="0.1" x2="-1.4" y2="1" width="0.127" layer="21"/>
<wire x1="-1.4" y1="1" x2="-1.1" y2="1.3" width="0.127" layer="21" curve="-90"/>
<wire x1="-1.1" y1="1.3" x2="-0.8" y2="1.3" width="0.127" layer="21"/>
<wire x1="-1.3" y1="0.1" x2="-1.4" y2="0.1" width="0.127" layer="21"/>
<wire x1="-0.9" y1="0.1" x2="-0.9" y2="-2.1" width="0.127" layer="21"/>
<wire x1="0.9" y1="0.1" x2="0.9" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-0.5" y1="0.1" x2="-0.5" y2="-2.1" width="0.127" layer="21"/>
<wire x1="0.5" y1="0.1" x2="0.5" y2="-2.1" width="0.127" layer="21"/>
<wire x1="2.2" y1="0.1" x2="2.6" y2="0.1" width="0.127" layer="21"/>
<wire x1="2.6" y1="0.1" x2="3" y2="0.1" width="0.127" layer="21"/>
<wire x1="3" y1="0.1" x2="4" y2="0.1" width="0.127" layer="21"/>
<wire x1="4" y1="0.1" x2="4.4" y2="0.1" width="0.127" layer="21"/>
<wire x1="4.4" y1="0.1" x2="4.8" y2="0.1" width="0.127" layer="21"/>
<wire x1="4.8" y1="0.1" x2="4.9" y2="0.1" width="0.127" layer="21"/>
<wire x1="4.8" y1="0.1" x2="4.8" y2="-2.1" width="0.127" layer="21"/>
<wire x1="2.2" y1="-2.1" x2="2.6" y2="-2.1" width="0.127" layer="21"/>
<wire x1="2.6" y1="-2.1" x2="3" y2="-2.1" width="0.127" layer="21"/>
<wire x1="3" y1="-2.1" x2="4" y2="-2.1" width="0.127" layer="21"/>
<wire x1="4" y1="-2.1" x2="4.4" y2="-2.1" width="0.127" layer="21"/>
<wire x1="4.4" y1="-2.1" x2="4.8" y2="-2.1" width="0.127" layer="21"/>
<wire x1="2.2" y1="0.1" x2="2.2" y2="-2.1" width="0.127" layer="21"/>
<wire x1="4.9" y1="0.1" x2="4.9" y2="1" width="0.127" layer="21"/>
<wire x1="4.9" y1="1" x2="4.6" y2="1.3" width="0.127" layer="21" curve="90"/>
<wire x1="3" y1="0.8" x2="3" y2="1" width="0.127" layer="21"/>
<wire x1="3" y1="0.8" x2="4" y2="0.8" width="0.127" layer="21"/>
<wire x1="4" y1="0.8" x2="4" y2="1" width="0.127" layer="21"/>
<wire x1="3" y1="1" x2="2.7" y2="1.3" width="0.127" layer="21" curve="90"/>
<wire x1="4" y1="1" x2="4.3" y2="1.3" width="0.127" layer="21" curve="-90"/>
<wire x1="4.3" y1="1.3" x2="4.6" y2="1.3" width="0.127" layer="21"/>
<wire x1="2.1" y1="0.1" x2="2.1" y2="1" width="0.127" layer="21"/>
<wire x1="2.1" y1="1" x2="2.4" y2="1.3" width="0.127" layer="21" curve="-90"/>
<wire x1="2.4" y1="1.3" x2="2.7" y2="1.3" width="0.127" layer="21"/>
<wire x1="2.2" y1="0.1" x2="2.1" y2="0.1" width="0.127" layer="21"/>
<wire x1="2.6" y1="0.1" x2="2.6" y2="-2.1" width="0.127" layer="21"/>
<wire x1="4.4" y1="0.1" x2="4.4" y2="-2.1" width="0.127" layer="21"/>
<wire x1="3" y1="0.1" x2="3" y2="-2.1" width="0.127" layer="21"/>
<wire x1="4" y1="0.1" x2="4" y2="-2.1" width="0.127" layer="21"/>
<wire x1="5.7" y1="0.1" x2="6.1" y2="0.1" width="0.127" layer="21"/>
<wire x1="6.1" y1="0.1" x2="6.5" y2="0.1" width="0.127" layer="21"/>
<wire x1="6.5" y1="0.1" x2="7.5" y2="0.1" width="0.127" layer="21"/>
<wire x1="7.5" y1="0.1" x2="7.9" y2="0.1" width="0.127" layer="21"/>
<wire x1="7.9" y1="0.1" x2="8.3" y2="0.1" width="0.127" layer="21"/>
<wire x1="8.3" y1="0.1" x2="8.3" y2="-2.1" width="0.127" layer="21"/>
<wire x1="5.7" y1="-2.1" x2="6.1" y2="-2.1" width="0.127" layer="21"/>
<wire x1="6.1" y1="-2.1" x2="6.5" y2="-2.1" width="0.127" layer="21"/>
<wire x1="6.5" y1="-2.1" x2="7.5" y2="-2.1" width="0.127" layer="21"/>
<wire x1="7.5" y1="-2.1" x2="7.9" y2="-2.1" width="0.127" layer="21"/>
<wire x1="7.9" y1="-2.1" x2="8.3" y2="-2.1" width="0.127" layer="21"/>
<wire x1="5.7" y1="0.1" x2="5.7" y2="-2.1" width="0.127" layer="21"/>
<wire x1="8.4" y1="0.1" x2="8.4" y2="1" width="0.127" layer="21"/>
<wire x1="8.4" y1="1" x2="8.1" y2="1.3" width="0.127" layer="21" curve="90"/>
<wire x1="6.5" y1="0.8" x2="6.5" y2="1" width="0.127" layer="21"/>
<wire x1="6.5" y1="0.8" x2="7.5" y2="0.8" width="0.127" layer="21"/>
<wire x1="7.5" y1="0.8" x2="7.5" y2="1" width="0.127" layer="21"/>
<wire x1="6.5" y1="1" x2="6.2" y2="1.3" width="0.127" layer="21" curve="90"/>
<wire x1="7.5" y1="1" x2="7.8" y2="1.3" width="0.127" layer="21" curve="-90"/>
<wire x1="7.8" y1="1.3" x2="8.1" y2="1.3" width="0.127" layer="21"/>
<wire x1="5.6" y1="0.1" x2="5.6" y2="1" width="0.127" layer="21"/>
<wire x1="5.6" y1="1" x2="5.9" y2="1.3" width="0.127" layer="21" curve="-90"/>
<wire x1="5.9" y1="1.3" x2="6.2" y2="1.3" width="0.127" layer="21"/>
<wire x1="5.7" y1="0.1" x2="5.6" y2="0.1" width="0.127" layer="21"/>
<wire x1="6.1" y1="0.1" x2="6.1" y2="-2.1" width="0.127" layer="21"/>
<wire x1="7.9" y1="0.1" x2="7.9" y2="-2.1" width="0.127" layer="21"/>
<wire x1="6.5" y1="0.1" x2="6.5" y2="-2.1" width="0.127" layer="21"/>
<wire x1="7.5" y1="0.1" x2="7.5" y2="-2.1" width="0.127" layer="21"/>
<wire x1="9.2" y1="0.1" x2="9.6" y2="0.1" width="0.127" layer="21"/>
<wire x1="9.6" y1="0.1" x2="10" y2="0.1" width="0.127" layer="21"/>
<wire x1="10" y1="0.1" x2="11" y2="0.1" width="0.127" layer="21"/>
<wire x1="11" y1="0.1" x2="11.4" y2="0.1" width="0.127" layer="21"/>
<wire x1="11.4" y1="0.1" x2="11.8" y2="0.1" width="0.127" layer="21"/>
<wire x1="11.8" y1="0.1" x2="11.9" y2="0.1" width="0.127" layer="21"/>
<wire x1="11.8" y1="0.1" x2="11.8" y2="-2.1" width="0.127" layer="21"/>
<wire x1="9.2" y1="-2.1" x2="9.6" y2="-2.1" width="0.127" layer="21"/>
<wire x1="9.6" y1="-2.1" x2="10" y2="-2.1" width="0.127" layer="21"/>
<wire x1="10" y1="-2.1" x2="11" y2="-2.1" width="0.127" layer="21"/>
<wire x1="11" y1="-2.1" x2="11.4" y2="-2.1" width="0.127" layer="21"/>
<wire x1="11.4" y1="-2.1" x2="11.8" y2="-2.1" width="0.127" layer="21"/>
<wire x1="9.2" y1="0.1" x2="9.2" y2="-2.1" width="0.127" layer="21"/>
<wire x1="11.9" y1="0.1" x2="11.9" y2="1" width="0.127" layer="21"/>
<wire x1="11.9" y1="1" x2="11.6" y2="1.3" width="0.127" layer="21" curve="90"/>
<wire x1="10" y1="0.8" x2="10" y2="1" width="0.127" layer="21"/>
<wire x1="10" y1="0.8" x2="11" y2="0.8" width="0.127" layer="21"/>
<wire x1="11" y1="0.8" x2="11" y2="1" width="0.127" layer="21"/>
<wire x1="10" y1="1" x2="9.7" y2="1.3" width="0.127" layer="21" curve="90"/>
<wire x1="11" y1="1" x2="11.3" y2="1.3" width="0.127" layer="21" curve="-90"/>
<wire x1="11.3" y1="1.3" x2="11.6" y2="1.3" width="0.127" layer="21"/>
<wire x1="9.1" y1="0.1" x2="9.1" y2="1" width="0.127" layer="21"/>
<wire x1="9.1" y1="1" x2="9.4" y2="1.3" width="0.127" layer="21" curve="-90"/>
<wire x1="9.4" y1="1.3" x2="9.7" y2="1.3" width="0.127" layer="21"/>
<wire x1="9.2" y1="0.1" x2="9.1" y2="0.1" width="0.127" layer="21"/>
<wire x1="9.6" y1="0.1" x2="9.6" y2="-2.1" width="0.127" layer="21"/>
<wire x1="11.4" y1="0.1" x2="11.4" y2="-2.1" width="0.127" layer="21"/>
<wire x1="10" y1="0.1" x2="10" y2="-2.1" width="0.127" layer="21"/>
<wire x1="11" y1="0.1" x2="11" y2="-2.1" width="0.127" layer="21"/>
<wire x1="1.4" y1="0.1" x2="2.1" y2="0.1" width="0.127" layer="21"/>
<wire x1="4.9" y1="0.1" x2="5.6" y2="0.1" width="0.127" layer="21"/>
<wire x1="8.3" y1="0.1" x2="8.4" y2="0.1" width="0.127" layer="21"/>
<wire x1="8.4" y1="0.1" x2="9.1" y2="0.1" width="0.127" layer="21"/>
<wire x1="-1.4" y1="0.1" x2="-2.5" y2="0.1" width="0.127" layer="21"/>
<wire x1="11.9" y1="0.1" x2="13" y2="0.1" width="0.127" layer="21"/>
<wire x1="-2.5" y1="0.1" x2="-2.5" y2="-9.2" width="0.127" layer="21"/>
<wire x1="-2.5" y1="-9.2" x2="-2.5" y2="-9.9" width="0.09" layer="21"/>
<wire x1="13" y1="0.1" x2="13" y2="-9.2" width="0.127" layer="21"/>
<wire x1="13" y1="-9.2" x2="13" y2="-9.9" width="0.09" layer="21"/>
<wire x1="-2.5" y1="-9.9" x2="-1.3" y2="-9.9" width="0.09" layer="21"/>
<wire x1="-1.3" y1="-9.9" x2="1.3" y2="-9.9" width="0.09" layer="21"/>
<wire x1="1.3" y1="-9.9" x2="2.2" y2="-9.9" width="0.09" layer="21"/>
<wire x1="2.2" y1="-9.9" x2="4.8" y2="-9.9" width="0.09" layer="21"/>
<wire x1="4.8" y1="-9.9" x2="5.7" y2="-9.9" width="0.09" layer="21"/>
<wire x1="5.7" y1="-9.9" x2="8.3" y2="-9.9" width="0.09" layer="21"/>
<wire x1="8.3" y1="-9.9" x2="9.2" y2="-9.9" width="0.09" layer="21"/>
<wire x1="9.2" y1="-9.9" x2="11.8" y2="-9.9" width="0.09" layer="21"/>
<wire x1="11.8" y1="-9.9" x2="13" y2="-9.9" width="0.09" layer="21"/>
<wire x1="-2.5" y1="-9.2" x2="13" y2="-9.2" width="0.127" layer="21"/>
<wire x1="-1.3" y1="-2.1" x2="-1.3" y2="-9.9" width="0.09" layer="21"/>
<wire x1="1.3" y1="-2.1" x2="1.3" y2="-9.9" width="0.09" layer="21"/>
<wire x1="2.2" y1="-2.1" x2="2.2" y2="-9.9" width="0.09" layer="21"/>
<wire x1="4.8" y1="-2.1" x2="4.8" y2="-9.9" width="0.09" layer="21"/>
<wire x1="5.7" y1="-2.1" x2="5.7" y2="-9.9" width="0.09" layer="21"/>
<wire x1="8.3" y1="-2.1" x2="8.3" y2="-9.9" width="0.09" layer="21"/>
<wire x1="9.2" y1="-2.1" x2="9.2" y2="-9.9" width="0.09" layer="21"/>
<wire x1="11.8" y1="-2.1" x2="11.8" y2="-9.9" width="0.09" layer="21"/>
<pad name="1" x="0" y="0" drill="1.5" shape="long" rot="R90"/>
<pad name="2" x="3.5" y="0" drill="1.5" shape="long" rot="R90"/>
<pad name="3" x="7" y="0" drill="1.5" shape="long" rot="R90"/>
<pad name="4" x="10.5" y="0" drill="1.5" shape="long" rot="R90"/>
<text x="-2.54" y="-12.192" size="1.27" layer="25">&gt;NAME</text>
<text x="15.24" y="-10.16" size="1.27" layer="27" rot="R90">&gt;VALUE</text>
</package>
<package name="RIA182-05">
<wire x1="-8.3" y1="0.1" x2="-7.9" y2="0.1" width="0.127" layer="21"/>
<wire x1="-7.9" y1="0.1" x2="-7.5" y2="0.1" width="0.127" layer="21"/>
<wire x1="-7.5" y1="0.1" x2="-6.5" y2="0.1" width="0.127" layer="21"/>
<wire x1="-6.5" y1="0.1" x2="-6.1" y2="0.1" width="0.127" layer="21"/>
<wire x1="-6.1" y1="0.1" x2="-5.7" y2="0.1" width="0.127" layer="21"/>
<wire x1="-5.7" y1="0.1" x2="-5.6" y2="0.1" width="0.127" layer="21"/>
<wire x1="-5.7" y1="0.1" x2="-5.7" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-8.3" y1="-2.1" x2="-7.9" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-7.9" y1="-2.1" x2="-7.5" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-7.5" y1="-2.1" x2="-6.5" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-6.5" y1="-2.1" x2="-6.1" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-6.1" y1="-2.1" x2="-5.7" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-8.3" y1="0.1" x2="-8.3" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-5.6" y1="0.1" x2="-5.6" y2="1" width="0.127" layer="21"/>
<wire x1="-5.6" y1="1" x2="-5.9" y2="1.3" width="0.127" layer="21" curve="90"/>
<wire x1="-7.5" y1="0.8" x2="-7.5" y2="1" width="0.127" layer="21"/>
<wire x1="-7.5" y1="0.8" x2="-6.5" y2="0.8" width="0.127" layer="21"/>
<wire x1="-6.5" y1="0.8" x2="-6.5" y2="1" width="0.127" layer="21"/>
<wire x1="-7.5" y1="1" x2="-7.8" y2="1.3" width="0.127" layer="21" curve="90"/>
<wire x1="-6.5" y1="1" x2="-6.2" y2="1.3" width="0.127" layer="21" curve="-90"/>
<wire x1="-6.2" y1="1.3" x2="-5.9" y2="1.3" width="0.127" layer="21"/>
<wire x1="-8.4" y1="0.1" x2="-8.4" y2="1" width="0.127" layer="21"/>
<wire x1="-8.4" y1="1" x2="-8.1" y2="1.3" width="0.127" layer="21" curve="-90"/>
<wire x1="-8.1" y1="1.3" x2="-7.8" y2="1.3" width="0.127" layer="21"/>
<wire x1="-8.3" y1="0.1" x2="-8.4" y2="0.1" width="0.127" layer="21"/>
<wire x1="-7.9" y1="0.1" x2="-7.9" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-6.1" y1="0.1" x2="-6.1" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-7.5" y1="0.1" x2="-7.5" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-6.5" y1="0.1" x2="-6.5" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-4.8" y1="0.1" x2="-4.4" y2="0.1" width="0.127" layer="21"/>
<wire x1="-4.4" y1="0.1" x2="-4" y2="0.1" width="0.127" layer="21"/>
<wire x1="-4" y1="0.1" x2="-3" y2="0.1" width="0.127" layer="21"/>
<wire x1="-3" y1="0.1" x2="-2.6" y2="0.1" width="0.127" layer="21"/>
<wire x1="-2.6" y1="0.1" x2="-2.2" y2="0.1" width="0.127" layer="21"/>
<wire x1="-2.2" y1="0.1" x2="-2.1" y2="0.1" width="0.127" layer="21"/>
<wire x1="-2.2" y1="0.1" x2="-2.2" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-4.8" y1="-2.1" x2="-4.4" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-4.4" y1="-2.1" x2="-4" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-4" y1="-2.1" x2="-3" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-3" y1="-2.1" x2="-2.6" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-2.6" y1="-2.1" x2="-2.2" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-4.8" y1="0.1" x2="-4.8" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-2.1" y1="0.1" x2="-2.1" y2="1" width="0.127" layer="21"/>
<wire x1="-2.1" y1="1" x2="-2.4" y2="1.3" width="0.127" layer="21" curve="90"/>
<wire x1="-4" y1="0.8" x2="-4" y2="1" width="0.127" layer="21"/>
<wire x1="-4" y1="0.8" x2="-3" y2="0.8" width="0.127" layer="21"/>
<wire x1="-3" y1="0.8" x2="-3" y2="1" width="0.127" layer="21"/>
<wire x1="-4" y1="1" x2="-4.3" y2="1.3" width="0.127" layer="21" curve="90"/>
<wire x1="-3" y1="1" x2="-2.7" y2="1.3" width="0.127" layer="21" curve="-90"/>
<wire x1="-2.7" y1="1.3" x2="-2.4" y2="1.3" width="0.127" layer="21"/>
<wire x1="-4.9" y1="0.1" x2="-4.9" y2="1" width="0.127" layer="21"/>
<wire x1="-4.9" y1="1" x2="-4.6" y2="1.3" width="0.127" layer="21" curve="-90"/>
<wire x1="-4.6" y1="1.3" x2="-4.3" y2="1.3" width="0.127" layer="21"/>
<wire x1="-4.8" y1="0.1" x2="-4.9" y2="0.1" width="0.127" layer="21"/>
<wire x1="-4.4" y1="0.1" x2="-4.4" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-2.6" y1="0.1" x2="-2.6" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-4" y1="0.1" x2="-4" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-3" y1="0.1" x2="-3" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-1.3" y1="0.1" x2="-0.9" y2="0.1" width="0.127" layer="21"/>
<wire x1="-0.9" y1="0.1" x2="-0.5" y2="0.1" width="0.127" layer="21"/>
<wire x1="-0.5" y1="0.1" x2="0.5" y2="0.1" width="0.127" layer="21"/>
<wire x1="0.5" y1="0.1" x2="0.9" y2="0.1" width="0.127" layer="21"/>
<wire x1="0.9" y1="0.1" x2="1.3" y2="0.1" width="0.127" layer="21"/>
<wire x1="1.3" y1="0.1" x2="1.3" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-1.3" y1="-2.1" x2="-0.9" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-0.9" y1="-2.1" x2="-0.5" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-0.5" y1="-2.1" x2="0.5" y2="-2.1" width="0.127" layer="21"/>
<wire x1="0.5" y1="-2.1" x2="0.9" y2="-2.1" width="0.127" layer="21"/>
<wire x1="0.9" y1="-2.1" x2="1.3" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-1.3" y1="0.1" x2="-1.3" y2="-2.1" width="0.127" layer="21"/>
<wire x1="1.4" y1="0.1" x2="1.4" y2="1" width="0.127" layer="21"/>
<wire x1="1.4" y1="1" x2="1.1" y2="1.3" width="0.127" layer="21" curve="90"/>
<wire x1="-0.5" y1="0.8" x2="-0.5" y2="1" width="0.127" layer="21"/>
<wire x1="-0.5" y1="0.8" x2="0.5" y2="0.8" width="0.127" layer="21"/>
<wire x1="0.5" y1="0.8" x2="0.5" y2="1" width="0.127" layer="21"/>
<wire x1="-0.5" y1="1" x2="-0.8" y2="1.3" width="0.127" layer="21" curve="90"/>
<wire x1="0.5" y1="1" x2="0.8" y2="1.3" width="0.127" layer="21" curve="-90"/>
<wire x1="0.8" y1="1.3" x2="1.1" y2="1.3" width="0.127" layer="21"/>
<wire x1="-1.4" y1="0.1" x2="-1.4" y2="1" width="0.127" layer="21"/>
<wire x1="-1.4" y1="1" x2="-1.1" y2="1.3" width="0.127" layer="21" curve="-90"/>
<wire x1="-1.1" y1="1.3" x2="-0.8" y2="1.3" width="0.127" layer="21"/>
<wire x1="-1.3" y1="0.1" x2="-1.4" y2="0.1" width="0.127" layer="21"/>
<wire x1="-0.9" y1="0.1" x2="-0.9" y2="-2.1" width="0.127" layer="21"/>
<wire x1="0.9" y1="0.1" x2="0.9" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-0.5" y1="0.1" x2="-0.5" y2="-2.1" width="0.127" layer="21"/>
<wire x1="0.5" y1="0.1" x2="0.5" y2="-2.1" width="0.127" layer="21"/>
<wire x1="5.7" y1="0.1" x2="6.1" y2="0.1" width="0.127" layer="21"/>
<wire x1="6.1" y1="0.1" x2="6.5" y2="0.1" width="0.127" layer="21"/>
<wire x1="6.5" y1="0.1" x2="7.5" y2="0.1" width="0.127" layer="21"/>
<wire x1="7.5" y1="0.1" x2="7.9" y2="0.1" width="0.127" layer="21"/>
<wire x1="7.9" y1="0.1" x2="8.3" y2="0.1" width="0.127" layer="21"/>
<wire x1="8.3" y1="0.1" x2="8.4" y2="0.1" width="0.127" layer="21"/>
<wire x1="8.3" y1="0.1" x2="8.3" y2="-2.1" width="0.127" layer="21"/>
<wire x1="5.7" y1="-2.1" x2="6.1" y2="-2.1" width="0.127" layer="21"/>
<wire x1="6.1" y1="-2.1" x2="6.5" y2="-2.1" width="0.127" layer="21"/>
<wire x1="6.5" y1="-2.1" x2="7.5" y2="-2.1" width="0.127" layer="21"/>
<wire x1="7.5" y1="-2.1" x2="7.9" y2="-2.1" width="0.127" layer="21"/>
<wire x1="7.9" y1="-2.1" x2="8.3" y2="-2.1" width="0.127" layer="21"/>
<wire x1="5.7" y1="0.1" x2="5.7" y2="-2.1" width="0.127" layer="21"/>
<wire x1="8.4" y1="0.1" x2="8.4" y2="1" width="0.127" layer="21"/>
<wire x1="8.4" y1="1" x2="8.1" y2="1.3" width="0.127" layer="21" curve="90"/>
<wire x1="6.5" y1="0.8" x2="6.5" y2="1" width="0.127" layer="21"/>
<wire x1="6.5" y1="0.8" x2="7.5" y2="0.8" width="0.127" layer="21"/>
<wire x1="7.5" y1="0.8" x2="7.5" y2="1" width="0.127" layer="21"/>
<wire x1="6.5" y1="1" x2="6.2" y2="1.3" width="0.127" layer="21" curve="90"/>
<wire x1="7.5" y1="1" x2="7.8" y2="1.3" width="0.127" layer="21" curve="-90"/>
<wire x1="7.8" y1="1.3" x2="8.1" y2="1.3" width="0.127" layer="21"/>
<wire x1="5.6" y1="0.1" x2="5.6" y2="1" width="0.127" layer="21"/>
<wire x1="5.6" y1="1" x2="5.9" y2="1.3" width="0.127" layer="21" curve="-90"/>
<wire x1="5.9" y1="1.3" x2="6.2" y2="1.3" width="0.127" layer="21"/>
<wire x1="5.7" y1="0.1" x2="5.6" y2="0.1" width="0.127" layer="21"/>
<wire x1="6.1" y1="0.1" x2="6.1" y2="-2.1" width="0.127" layer="21"/>
<wire x1="7.9" y1="0.1" x2="7.9" y2="-2.1" width="0.127" layer="21"/>
<wire x1="6.5" y1="0.1" x2="6.5" y2="-2.1" width="0.127" layer="21"/>
<wire x1="7.5" y1="0.1" x2="7.5" y2="-2.1" width="0.127" layer="21"/>
<wire x1="-5.6" y1="0.1" x2="-4.9" y2="0.1" width="0.127" layer="21"/>
<wire x1="-2.1" y1="0.1" x2="-1.4" y2="0.1" width="0.127" layer="21"/>
<wire x1="1.3" y1="0.1" x2="1.4" y2="0.1" width="0.127" layer="21"/>
<wire x1="1.4" y1="0.1" x2="3" y2="0.1" width="0.127" layer="21"/>
<wire x1="4" y1="0.1" x2="4.9" y2="0.1" width="0.127" layer="21"/>
<wire x1="4.9" y1="0.1" x2="5.6" y2="0.1" width="0.127" layer="21"/>
<wire x1="-8.4" y1="0.1" x2="-9.5" y2="0.1" width="0.127" layer="21"/>
<wire x1="8.4" y1="0.1" x2="9.1" y2="0.1" width="0.127" layer="21"/>
<wire x1="9.1" y1="0.1" x2="9.5" y2="0.1" width="0.127" layer="21"/>
<wire x1="-9.5" y1="0.1" x2="-9.5" y2="-9.2" width="0.127" layer="21"/>
<wire x1="-9.5" y1="-9.2" x2="-9.5" y2="-9.9" width="0.09" layer="21"/>
<wire x1="9.5" y1="0.1" x2="9.5" y2="-9.2" width="0.127" layer="21"/>
<wire x1="9.5" y1="-9.2" x2="9.5" y2="-9.9" width="0.09" layer="21"/>
<wire x1="-9.5" y1="-9.9" x2="-8.3" y2="-9.9" width="0.09" layer="21"/>
<wire x1="-8.3" y1="-9.9" x2="-5.7" y2="-9.9" width="0.09" layer="21"/>
<wire x1="-5.7" y1="-9.9" x2="-4.8" y2="-9.9" width="0.09" layer="21"/>
<wire x1="-4.8" y1="-9.9" x2="-2.2" y2="-9.9" width="0.09" layer="21"/>
<wire x1="-2.2" y1="-9.9" x2="-1.3" y2="-9.9" width="0.09" layer="21"/>
<wire x1="-1.3" y1="-9.9" x2="1.3" y2="-9.9" width="0.09" layer="21"/>
<wire x1="1.3" y1="-9.9" x2="5.7" y2="-9.9" width="0.09" layer="21"/>
<wire x1="5.7" y1="-9.9" x2="8.3" y2="-9.9" width="0.09" layer="21"/>
<wire x1="8.3" y1="-9.9" x2="9.5" y2="-9.9" width="0.09" layer="21"/>
<wire x1="-9.5" y1="-9.2" x2="9.5" y2="-9.2" width="0.127" layer="21"/>
<wire x1="-8.3" y1="-2.1" x2="-8.3" y2="-9.9" width="0.09" layer="21"/>
<wire x1="-5.7" y1="-2.1" x2="-5.7" y2="-9.9" width="0.09" layer="21"/>
<wire x1="-4.8" y1="-2.1" x2="-4.8" y2="-9.9" width="0.09" layer="21"/>
<wire x1="-2.2" y1="-2.1" x2="-2.2" y2="-9.9" width="0.09" layer="21"/>
<wire x1="-1.3" y1="-2.1" x2="-1.3" y2="-9.9" width="0.09" layer="21"/>
<wire x1="1.3" y1="-2.1" x2="1.3" y2="-9.9" width="0.09" layer="21"/>
<wire x1="5.7" y1="-2.1" x2="5.7" y2="-9.9" width="0.09" layer="21"/>
<wire x1="8.3" y1="-2.1" x2="8.3" y2="-9.9" width="0.09" layer="21"/>
<wire x1="2.2" y1="0.1" x2="2.6" y2="0.1" width="0.127" layer="21"/>
<wire x1="2.6" y1="0.1" x2="3" y2="0.1" width="0.127" layer="21"/>
<wire x1="3" y1="0.1" x2="4" y2="0.1" width="0.127" layer="21"/>
<wire x1="4" y1="0.1" x2="4.4" y2="0.1" width="0.127" layer="21"/>
<wire x1="4.4" y1="0.1" x2="4.8" y2="0.1" width="0.127" layer="21"/>
<wire x1="4.8" y1="0.1" x2="4.8" y2="-2.1" width="0.127" layer="21"/>
<wire x1="2.2" y1="-2.1" x2="2.6" y2="-2.1" width="0.127" layer="21"/>
<wire x1="2.6" y1="-2.1" x2="3" y2="-2.1" width="0.127" layer="21"/>
<wire x1="3" y1="-2.1" x2="4" y2="-2.1" width="0.127" layer="21"/>
<wire x1="4" y1="-2.1" x2="4.4" y2="-2.1" width="0.127" layer="21"/>
<wire x1="4.4" y1="-2.1" x2="4.8" y2="-2.1" width="0.127" layer="21"/>
<wire x1="2.2" y1="0.1" x2="2.2" y2="-2.1" width="0.127" layer="21"/>
<wire x1="4.9" y1="0.1" x2="4.9" y2="1" width="0.127" layer="21"/>
<wire x1="4.9" y1="1" x2="4.6" y2="1.3" width="0.127" layer="21" curve="90"/>
<wire x1="3" y1="0.8" x2="3" y2="1" width="0.127" layer="21"/>
<wire x1="3" y1="0.8" x2="4" y2="0.8" width="0.127" layer="21"/>
<wire x1="4" y1="0.8" x2="4" y2="1" width="0.127" layer="21"/>
<wire x1="3" y1="1" x2="2.7" y2="1.3" width="0.127" layer="21" curve="90"/>
<wire x1="4" y1="1" x2="4.3" y2="1.3" width="0.127" layer="21" curve="-90"/>
<wire x1="4.3" y1="1.3" x2="4.6" y2="1.3" width="0.127" layer="21"/>
<wire x1="2.1" y1="0.1" x2="2.1" y2="1" width="0.127" layer="21"/>
<wire x1="2.1" y1="1" x2="2.4" y2="1.3" width="0.127" layer="21" curve="-90"/>
<wire x1="2.4" y1="1.3" x2="2.7" y2="1.3" width="0.127" layer="21"/>
<wire x1="2.2" y1="0.1" x2="2.1" y2="0.1" width="0.127" layer="21"/>
<wire x1="2.6" y1="0.1" x2="2.6" y2="-2.1" width="0.127" layer="21"/>
<wire x1="4.4" y1="0.1" x2="4.4" y2="-2.1" width="0.127" layer="21"/>
<wire x1="3" y1="0.1" x2="3" y2="-2.1" width="0.127" layer="21"/>
<wire x1="4" y1="0.1" x2="4" y2="-2.1" width="0.127" layer="21"/>
<wire x1="1.4" y1="0.1" x2="2.1" y2="0.1" width="0.127" layer="21"/>
<wire x1="4.8" y1="0.1" x2="4.9" y2="0.1" width="0.127" layer="21"/>
<wire x1="4.9" y1="0.1" x2="9.1" y2="0.1" width="0.127" layer="21"/>
<wire x1="1.3" y1="-9.9" x2="2.2" y2="-9.9" width="0.09" layer="21"/>
<wire x1="2.2" y1="-9.9" x2="4.8" y2="-9.9" width="0.09" layer="21"/>
<wire x1="4.8" y1="-9.9" x2="9.2" y2="-9.9" width="0.09" layer="21"/>
<wire x1="2.2" y1="-2.1" x2="2.2" y2="-9.9" width="0.09" layer="21"/>
<wire x1="4.8" y1="-2.1" x2="4.8" y2="-9.9" width="0.09" layer="21"/>
<pad name="1" x="-7" y="0" drill="1.5" shape="long" rot="R90"/>
<pad name="2" x="-3.5" y="0" drill="1.5" shape="long" rot="R90"/>
<pad name="3" x="0" y="0" drill="1.5" shape="long" rot="R90"/>
<pad name="5" x="7" y="0" drill="1.5" shape="long" rot="R90"/>
<pad name="4" x="3.5" y="0" drill="1.5" shape="long" rot="R90"/>
<text x="-9.54" y="-12.192" size="1.27" layer="25">&gt;NAME</text>
<text x="11.74" y="-10.16" size="1.27" layer="27" rot="R90">&gt;VALUE</text>
</package>
</packages>
</library>
<library name="transistor" urn="urn:adsk.eagle:library:402">
<description>&lt;b&gt;Transistors&lt;/b&gt;&lt;p&gt;
&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
<packages>
<package name="SOT23-BEC" urn="urn:adsk.eagle:footprint:28685/1" library_version="4">
<description>TO-236 ITT Intermetall</description>
<wire x1="1.4224" y1="0.6604" x2="1.4224" y2="-0.6604" width="0.127" layer="51"/>
<wire x1="1.4224" y1="-0.6604" x2="-1.4224" y2="-0.6604" width="0.127" layer="51"/>
<wire x1="-1.4224" y1="-0.6604" x2="-1.4224" y2="0.6604" width="0.127" layer="51"/>
<wire x1="-1.4224" y1="0.6604" x2="1.4224" y2="0.6604" width="0.127" layer="51"/>
<smd name="C" x="0" y="1.1" dx="1" dy="1.4" layer="1"/>
<smd name="E" x="0.95" y="-1.1" dx="1" dy="1.4" layer="1"/>
<smd name="B" x="-0.95" y="-1.1" dx="1" dy="1.4" layer="1"/>
<text x="-1.905" y="1.905" size="1.27" layer="25">&gt;NAME</text>
<text x="-1.905" y="-3.175" size="1.27" layer="27">&gt;VALUE</text>
<rectangle x1="-0.2286" y1="0.7112" x2="0.2286" y2="1.2954" layer="51"/>
<rectangle x1="0.7112" y1="-1.2954" x2="1.1684" y2="-0.7112" layer="51"/>
<rectangle x1="-1.1684" y1="-1.2954" x2="-0.7112" y2="-0.7112" layer="51"/>
</package>
</packages>
<packages3d>
<package3d name="SOT23-BEC" urn="urn:adsk.eagle:package:28748/2" type="model" library_version="4">
<description>TO-236 ITT Intermetall</description>
<packageinstances>
<packageinstance name="SOT23-BEC"/>
</packageinstances>
</package3d>
</packages3d>
</library>
<library name="relay" urn="urn:adsk.eagle:library:339">
<description>&lt;b&gt;Relays&lt;/b&gt;&lt;p&gt;
&lt;ul&gt;
&lt;li&gt;Eichhoff
&lt;li&gt;Finder
&lt;li&gt;Fujitsu
&lt;li&gt;HAMLIN
&lt;li&gt;OMRON
&lt;li&gt;Matsushita
&lt;li&gt;NAiS
&lt;li&gt;Siemens
&lt;li&gt;Schrack
&lt;/ul&gt;
&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
<packages>
<package name="G5V1" urn="urn:adsk.eagle:footprint:24007/1" library_version="1">
<description>&lt;b&gt;RELAY&lt;/b&gt;&lt;p&gt;
1 x switch, 0.5 A/125 V AC, 1 A/24 V DC , Omron</description>
<wire x1="-6.05" y1="-3.55" x2="6.05" y2="-3.55" width="0.2032" layer="21"/>
<wire x1="6.05" y1="3.51" x2="-6.05" y2="3.51" width="0.2032" layer="21"/>
<wire x1="-6.05" y1="-3.55" x2="-6.05" y2="3.51" width="0.2032" layer="21"/>
<wire x1="6.05" y1="3.51" x2="6.05" y2="-3.55" width="0.2032" layer="21"/>
<pad name="1" x="-5.08" y="-2.54" drill="0.8128" shape="long" rot="R90"/>
<pad name="2" x="-2.54" y="-2.54" drill="0.8128" shape="long" rot="R90"/>
<pad name="5" x="5.08" y="-2.54" drill="0.8128" shape="long" rot="R90"/>
<pad name="6" x="5.08" y="2.54" drill="0.8128" shape="long" rot="R90"/>
<pad name="9" x="-2.54" y="2.54" drill="0.8128" shape="long" rot="R90"/>
<pad name="10" x="-5.08" y="2.54" drill="0.8128" shape="long" rot="R90"/>
<text x="-1.27" y="0.635" size="1.27" layer="25">&gt;NAME</text>
<text x="-5.08" y="-1.27" size="1.27" layer="27">&gt;VALUE</text>
</package>
</packages>
<packages3d>
<package3d name="G5V1" urn="urn:adsk.eagle:package:24341/1" type="box" library_version="1">
<description>RELAY
1 x switch, 0.5 A/125 V AC, 1 A/24 V DC , Omron</description>
<packageinstances>
<packageinstance name="G5V1"/>
</packageinstances>
</package3d>
</packages3d>
</library>
<library name="led" urn="urn:adsk.eagle:library:259">
<description>&lt;b&gt;LEDs&lt;/b&gt;&lt;p&gt;
&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;&lt;br&gt;
Extended by Federico Battaglin &lt;author&gt;&amp;lt;federico.rd@fdpinternational.com&amp;gt;&lt;/author&gt; with DUOLED</description>
<packages>
<package name="CHIP-LED0805" urn="urn:adsk.eagle:footprint:15672/1" library_version="2">
<description>&lt;b&gt;Hyper CHIPLED Hyper-Bright LED&lt;/b&gt;&lt;p&gt;
LB R99A&lt;br&gt;
Source: http://www.osram.convergy.de/ ... lb_r99a.pdf</description>
<wire x1="-0.625" y1="0.45" x2="-0.625" y2="-0.45" width="0.1016" layer="51"/>
<wire x1="0.625" y1="0.45" x2="0.625" y2="-0.475" width="0.1016" layer="51"/>
<smd name="C" x="0" y="1.05" dx="1.2" dy="1.2" layer="1"/>
<smd name="A" x="0" y="-1.05" dx="1.2" dy="1.2" layer="1"/>
<text x="-1.27" y="-1.27" size="1.27" layer="25" rot="R90">&gt;NAME</text>
<text x="2.54" y="-1.27" size="1.27" layer="27" rot="R90">&gt;VALUE</text>
<rectangle x1="-0.675" y1="0" x2="-0.525" y2="0.3" layer="21"/>
<rectangle x1="0.525" y1="0" x2="0.675" y2="0.3" layer="21"/>
<rectangle x1="-0.15" y1="0" x2="0.15" y2="0.3" layer="21"/>
<rectangle x1="-0.675" y1="0.45" x2="0.675" y2="1.05" layer="51"/>
<rectangle x1="-0.675" y1="-1.05" x2="0.675" y2="-0.45" layer="51"/>
</package>
</packages>
<packages3d>
<package3d name="CHIP-LED0805" urn="urn:adsk.eagle:package:15818/2" type="model" library_version="2">
<description>Hyper CHIPLED Hyper-Bright LED
LB R99A
Source: http://www.osram.convergy.de/ ... lb_r99a.pdf</description>
<packageinstances>
<packageinstance name="CHIP-LED0805"/>
</packageinstances>
</package3d>
</packages3d>
</library>
<library name="pinhead" urn="urn:adsk.eagle:library:325">
<description>&lt;b&gt;Pin Header Connectors&lt;/b&gt;&lt;p&gt;
&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
<packages>
<package name="1X02" urn="urn:adsk.eagle:footprint:22309/1" library_version="3">
<description>&lt;b&gt;PIN HEADER&lt;/b&gt;</description>
<wire x1="-1.905" y1="1.27" x2="-0.635" y2="1.27" width="0.1524" layer="21"/>
<wire x1="-0.635" y1="1.27" x2="0" y2="0.635" width="0.1524" layer="21"/>
<wire x1="0" y1="0.635" x2="0" y2="-0.635" width="0.1524" layer="21"/>
<wire x1="0" y1="-0.635" x2="-0.635" y2="-1.27" width="0.1524" layer="21"/>
<wire x1="-2.54" y1="0.635" x2="-2.54" y2="-0.635" width="0.1524" layer="21"/>
<wire x1="-1.905" y1="1.27" x2="-2.54" y2="0.635" width="0.1524" layer="21"/>
<wire x1="-2.54" y1="-0.635" x2="-1.905" y2="-1.27" width="0.1524" layer="21"/>
<wire x1="-0.635" y1="-1.27" x2="-1.905" y2="-1.27" width="0.1524" layer="21"/>
<wire x1="0" y1="0.635" x2="0.635" y2="1.27" width="0.1524" layer="21"/>
<wire x1="0.635" y1="1.27" x2="1.905" y2="1.27" width="0.1524" layer="21"/>
<wire x1="1.905" y1="1.27" x2="2.54" y2="0.635" width="0.1524" layer="21"/>
<wire x1="2.54" y1="0.635" x2="2.54" y2="-0.635" width="0.1524" layer="21"/>
<wire x1="2.54" y1="-0.635" x2="1.905" y2="-1.27" width="0.1524" layer="21"/>
<wire x1="1.905" y1="-1.27" x2="0.635" y2="-1.27" width="0.1524" layer="21"/>
<wire x1="0.635" y1="-1.27" x2="0" y2="-0.635" width="0.1524" layer="21"/>
<pad name="1" x="-1.27" y="0" drill="1.016" shape="long" rot="R90"/>
<pad name="2" x="1.27" y="0" drill="1.016" shape="long" rot="R90"/>
<text x="-2.6162" y="1.8288" size="1.27" layer="25" ratio="10">&gt;NAME</text>
<text x="-2.54" y="-3.175" size="1.27" layer="27">&gt;VALUE</text>
<rectangle x1="-1.524" y1="-0.254" x2="-1.016" y2="0.254" layer="51"/>
<rectangle x1="1.016" y1="-0.254" x2="1.524" y2="0.254" layer="51"/>
</package>
</packages>
<packages3d>
<package3d name="1X02" urn="urn:adsk.eagle:package:22435/2" type="model" library_version="3">
<description>PIN HEADER</description>
<packageinstances>
<packageinstance name="1X02"/>
</packageinstances>
</package3d>
</packages3d>
</library>
<library name="SparkFun-Connectors" urn="urn:adsk.eagle:library:513">
<description>&lt;h3&gt;SparkFun Connectors&lt;/h3&gt;
This library contains electrically-functional connectors.
&lt;br&gt;
&lt;br&gt;
We've spent an enormous amount of time creating and checking these footprints and parts, but it is &lt;b&gt; the end user's responsibility&lt;/b&gt; to ensure correctness and suitablity for a given componet or application.
&lt;br&gt;
&lt;br&gt;If you enjoy using this library, please buy one of our products at &lt;a href=" www.sparkfun.com"&gt;SparkFun.com&lt;/a&gt;.
&lt;br&gt;
&lt;br&gt;
&lt;b&gt;Licensing:&lt;/b&gt; Creative Commons ShareAlike 4.0 International - https://creativecommons.org/licenses/by-sa/4.0/
&lt;br&gt;
&lt;br&gt;
You are welcome to use this library for commercial purposes. For attribution, we ask that when you begin to sell your device using our footprint, you email us with a link to the product being sold. We want bragging rights that we helped (in a very small part) to create your 8th world wonder. We would like the opportunity to feature your device on our homepage.</description>
<packages>
<package name="RJ45-8" urn="urn:adsk.eagle:footprint:37883/1" library_version="1">
<description>&lt;h3&gt;RJ45 Socket with PCB Mounting Posts&lt;/h3&gt;
&lt;p&gt;Specifications:
&lt;ul&gt;&lt;li&gt;Pin count:8&lt;/li&gt;
&lt;/ul&gt;&lt;/p&gt;
&lt;p&gt;&lt;a href=”https://cdn.sparkfun.com/datasheets/Prototyping/04908.pdf”&gt;Datasheet referenced for footprint&lt;/a&gt;&lt;/p&gt;
&lt;p&gt;Example device(s):
&lt;ul&gt;&lt;li&gt;RJ45-8&lt;/li&gt;
&lt;/ul&gt;&lt;/p&gt;</description>
<wire x1="-7.62" y1="10" x2="7.62" y2="10" width="0.2032" layer="21"/>
<wire x1="-7.62" y1="-3" x2="-7.62" y2="10" width="0.2032" layer="21"/>
<wire x1="-7.62" y1="-3" x2="7.62" y2="-3" width="0.2032" layer="21"/>
<wire x1="7.62" y1="10" x2="7.62" y2="-3" width="0.2032" layer="21"/>
<wire x1="-7.62" y1="-3" x2="-7.62" y2="-10.8" width="0.2032" layer="51"/>
<wire x1="-7.62" y1="-10.8" x2="7.62" y2="-10.8" width="0.2032" layer="51"/>
<wire x1="7.62" y1="-10.8" x2="7.62" y2="-3" width="0.2032" layer="51"/>
<pad name="8" x="4.445" y="8.89" drill="1" diameter="1.8796"/>
<pad name="7" x="3.175" y="6.35" drill="1" diameter="1.8796"/>
<pad name="6" x="1.905" y="8.89" drill="1" diameter="1.8796"/>
<pad name="4" x="-0.635" y="8.89" drill="1" diameter="1.8796"/>
<pad name="2" x="-3.175" y="8.89" drill="1" diameter="1.8796"/>
<pad name="5" x="0.635" y="6.35" drill="1" diameter="1.8796"/>
<pad name="3" x="-1.905" y="6.35" drill="1" diameter="1.8796"/>
<pad name="1" x="-4.445" y="6.35" drill="1" diameter="1.8796" shape="square"/>
<hole x="-5.715" y="0" drill="3.2"/>
<hole x="5.715" y="0" drill="3.2"/>
<text x="-1.3208" y="-6.477" size="0.6096" layer="25" font="vector" ratio="20">&gt;NAME</text>
<text x="-1.27" y="-7.874" size="0.6096" layer="27" font="vector" ratio="20">&gt;VALUE</text>
</package>
</packages>
<packages3d>
<package3d name="RJ45-8" urn="urn:adsk.eagle:package:38235/1" type="box" library_version="1">
<description>RJ45 Socket with PCB Mounting Posts
Specifications:
Pin count:8
Datasheet referenced for footprint
Example device(s):
RJ45-8
</description>
<packageinstances>
<packageinstance name="RJ45-8"/>
</packageinstances>
</package3d>
</packages3d>
</library>
<library name="jumper" urn="urn:adsk.eagle:library:252">
<description>&lt;b&gt;Jumpers&lt;/b&gt;&lt;p&gt;
&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
<packages>
<package name="SJ_2" urn="urn:adsk.eagle:footprint:15439/1" library_version="1">
<description>&lt;b&gt;Solder jumper&lt;/b&gt;</description>
<wire x1="2.159" y1="-1.016" x2="-2.159" y2="-1.016" width="0.1524" layer="21"/>
<wire x1="2.159" y1="1.016" x2="2.413" y2="0.762" width="0.1524" layer="21" curve="-90"/>
<wire x1="-2.413" y1="0.762" x2="-2.159" y2="1.016" width="0.1524" layer="21" curve="-90"/>
<wire x1="-2.413" y1="-0.762" x2="-2.159" y2="-1.016" width="0.1524" layer="21" curve="90"/>
<wire x1="2.159" y1="-1.016" x2="2.413" y2="-0.762" width="0.1524" layer="21" curve="90"/>
<wire x1="2.413" y1="-0.762" x2="2.413" y2="0.762" width="0.1524" layer="21"/>
<wire x1="-2.413" y1="-0.762" x2="-2.413" y2="0.762" width="0.1524" layer="21"/>
<wire x1="-2.159" y1="1.016" x2="2.159" y2="1.016" width="0.1524" layer="21"/>
<wire x1="1.778" y1="0" x2="2.286" y2="0" width="0.1524" layer="51"/>
<wire x1="-1.778" y1="0" x2="-2.286" y2="0" width="0.1524" layer="51"/>
<wire x1="0" y1="0.762" x2="0" y2="1.016" width="0.1524" layer="51"/>
<wire x1="0" y1="-1.016" x2="0" y2="-0.762" width="0.1524" layer="51"/>
<wire x1="1.016" y1="0.127" x2="1.016" y2="-0.127" width="1.27" layer="51" curve="-180" cap="flat"/>
<wire x1="-1.016" y1="-0.127" x2="-1.016" y2="0.127" width="1.27" layer="51" curve="-180" cap="flat"/>
<smd name="1" x="-1.524" y="0" dx="1.1684" dy="1.6002" layer="1"/>
<smd name="2" x="0" y="0" dx="1.1684" dy="1.6002" layer="1"/>
<smd name="3" x="1.524" y="0" dx="1.1684" dy="1.6002" layer="1"/>
<text x="-2.413" y="1.27" size="1.27" layer="25" ratio="10">&gt;NAME</text>
<text x="-0.1001" y="0" size="0.02" layer="27">&gt;VALUE</text>
<rectangle x1="-0.508" y1="-0.762" x2="0.508" y2="0.762" layer="51"/>
</package>
</packages>
<packages3d>
<package3d name="SJ_2" urn="urn:adsk.eagle:package:15474/1" type="box" library_version="1">
<description>Solder jumper</description>
<packageinstances>
<packageinstance name="SJ_2"/>
</packageinstances>
</package3d>
</packages3d>
</library>
<library name="microchip" urn="urn:adsk.eagle:library:294">
<description>&lt;b&gt;Microchip PIC Microcontrollers and other Devices&lt;/b&gt;&lt;p&gt;
Based on the following sources :
&lt;ul&gt;
&lt;li&gt;Microchip Data Book, 1993
&lt;li&gt;THE EMERGING WORLD STANDARD, 1995/1996
&lt;li&gt;Microchip, Technical Library CD-ROM, June 1998
&lt;li&gt;www.microchip.com
&lt;/ul&gt;
&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
<packages>
<package name="SO-08" urn="urn:adsk.eagle:footprint:20622/1" library_version="2">
<description>&lt;B&gt;Small Outline Narrow Plastic Gull Wing&lt;/B&gt;&lt;p&gt;
150-mil body, package type SN</description>
<wire x1="-2.9" y1="3.9" x2="2.9" y2="3.9" width="0.1998" layer="39"/>
<wire x1="2.9" y1="3.9" x2="2.9" y2="-3.9" width="0.1998" layer="39"/>
<wire x1="2.9" y1="-3.9" x2="-2.9" y2="-3.9" width="0.1998" layer="39"/>
<wire x1="-2.9" y1="-3.9" x2="-2.9" y2="3.9" width="0.1998" layer="39"/>
<wire x1="2.4" y1="1.9" x2="2.4" y2="-1.4" width="0.2032" layer="51"/>
<wire x1="2.4" y1="-1.4" x2="2.4" y2="-1.9" width="0.2032" layer="51"/>
<wire x1="2.4" y1="-1.9" x2="-2.4" y2="-1.9" width="0.2032" layer="51"/>
<wire x1="-2.4" y1="-1.9" x2="-2.4" y2="-1.4" width="0.2032" layer="51"/>
<wire x1="-2.4" y1="-1.4" x2="-2.4" y2="1.9" width="0.2032" layer="51"/>
<wire x1="-2.4" y1="1.9" x2="2.4" y2="1.9" width="0.2032" layer="51"/>
<wire x1="2.4" y1="-1.4" x2="-2.4" y2="-1.4" width="0.2032" layer="51"/>
<smd name="2" x="-0.635" y="-2.6" dx="0.6" dy="2.2" layer="1"/>
<smd name="7" x="-0.635" y="2.6" dx="0.6" dy="2.2" layer="1"/>
<smd name="1" x="-1.905" y="-2.6" dx="0.6" dy="2.2" layer="1"/>
<smd name="3" x="0.635" y="-2.6" dx="0.6" dy="2.2" layer="1"/>
<smd name="4" x="1.905" y="-2.6" dx="0.6" dy="2.2" layer="1"/>
<smd name="8" x="-1.905" y="2.6" dx="0.6" dy="2.2" layer="1"/>
<smd name="6" x="0.635" y="2.6" dx="0.6" dy="2.2" layer="1"/>
<smd name="5" x="1.905" y="2.6" dx="0.6" dy="2.2" layer="1"/>
<text x="-2.667" y="-1.905" size="1.27" layer="25" rot="R90">&gt;NAME</text>
<text x="3.937" y="-1.905" size="1.27" layer="27" rot="R90">&gt;VALUE</text>
<text x="-1.905" y="-0.635" size="0.4064" layer="48">IPC SO8</text>
<text x="-1.905" y="0.365" size="0.3048" layer="48">JEDEC MS-012 AA</text>
<rectangle x1="-2.1501" y1="-3.1001" x2="-1.6599" y2="-2" layer="51"/>
<rectangle x1="-0.8801" y1="-3.1001" x2="-0.3899" y2="-2" layer="51"/>
<rectangle x1="0.3899" y1="-3.1001" x2="0.8801" y2="-2" layer="51"/>
<rectangle x1="1.6599" y1="-3.1001" x2="2.1501" y2="-2" layer="51"/>
<rectangle x1="1.6599" y1="2" x2="2.1501" y2="3.1001" layer="51"/>
<rectangle x1="0.3899" y1="2" x2="0.8801" y2="3.1001" layer="51"/>
<rectangle x1="-0.8801" y1="2" x2="-0.3899" y2="3.1001" layer="51"/>
<rectangle x1="-2.1501" y1="2" x2="-1.6599" y2="3.1001" layer="51"/>
<rectangle x1="-1" y1="-1" x2="1" y2="1" layer="35"/>
</package>
</packages>
<packages3d>
<package3d name="SO-08" urn="urn:adsk.eagle:package:20804/2" type="model" library_version="2">
<description>Small Outline Narrow Plastic Gull Wing
150-mil body, package type SN</description>
<packageinstances>
<packageinstance name="SO-08"/>
</packageinstances>
</package3d>
</packages3d>
</library>
</libraries>
<attributes>
</attributes>
<variantdefs>
</variantdefs>
<classes>
<class number="0" name="default" width="0" drill="0">
</class>
</classes>
<designrules name="Elecrow_2-layer_PCB_eagle_rule">
<description language="de">&lt;b&gt;EAGLE Design Rules&lt;/b&gt;
&lt;p&gt;
Die Standard-Design-Rules sind so gewählt, dass sie für
die meisten Anwendungen passen. Sollte ihre Platine
besondere Anforderungen haben, treffen Sie die erforderlichen
Einstellungen hier und speichern die Design Rules unter
einem neuen Namen ab.</description>
<description language="en">&lt;b&gt;EAGLE Design Rules&lt;/b&gt;
&lt;p&gt;
The default Design Rules have been set to cover
a wide range of applications. Your particular design
may have different requirements, so please make the
necessary adjustments and save your customized
design rules under a new name.</description>
<description language="zh">&lt;b&gt;Elecrow PCB EAGLE Design Rules&lt;/b&gt;
</description>
<param name="layerSetup" value="(1*16)"/>
<param name="mtCopper" value="0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm"/>
<param name="mtIsolate" value="1.6mm 1.2mm 0.2mm 0.15mm 0.2mm 0.15mm 0.2mm 0.15mm 0.2mm 0.15mm 0.2mm 0.15mm 0.2mm 0.15mm 0.2mm"/>
<param name="mdWireWire" value="6mil"/>
<param name="mdWirePad" value="8mil"/>
<param name="mdWireVia" value="6mil"/>
<param name="mdPadPad" value="12mil"/>
<param name="mdPadVia" value="8mil"/>
<param name="mdViaVia" value="12mil"/>
<param name="mdSmdPad" value="0mil"/>
<param name="mdSmdVia" value="0mil"/>
<param name="mdSmdSmd" value="0mil"/>
<param name="mdViaViaSameLayer" value="8mil"/>
<param name="mnLayersViaInSmd" value="2"/>
<param name="mdCopperDimension" value="20mil"/>
<param name="mdDrill" value="10mil"/>
<param name="mdSmdStop" value="0mil"/>
<param name="msWidth" value="6mil"/>
<param name="msDrill" value="12mil"/>
<param name="msMicroVia" value="12mil"/>
<param name="msBlindViaRatio" value="0.5"/>
<param name="rvPadTop" value="0.25"/>
<param name="rvPadInner" value="0.25"/>
<param name="rvPadBottom" value="0.25"/>
<param name="rvViaOuter" value="0.25"/>
<param name="rvViaInner" value="0.25"/>
<param name="rvMicroViaOuter" value="0.25"/>
<param name="rvMicroViaInner" value="0.25"/>
<param name="rlMinPadTop" value="6mil"/>
<param name="rlMaxPadTop" value="20mil"/>
<param name="rlMinPadInner" value="6mil"/>
<param name="rlMaxPadInner" value="20mil"/>
<param name="rlMinPadBottom" value="6mil"/>
<param name="rlMaxPadBottom" value="20mil"/>
<param name="rlMinViaOuter" value="6mil"/>
<param name="rlMaxViaOuter" value="20mil"/>
<param name="rlMinViaInner" value="6mil"/>
<param name="rlMaxViaInner" value="20mil"/>
<param name="rlMinMicroViaOuter" value="4mil"/>
<param name="rlMaxMicroViaOuter" value="20mil"/>
<param name="rlMinMicroViaInner" value="4mil"/>
<param name="rlMaxMicroViaInner" value="20mil"/>
<param name="psTop" value="-1"/>
<param name="psBottom" value="-1"/>
<param name="psFirst" value="0"/>
<param name="psElongationLong" value="100"/>
<param name="psElongationOffset" value="100"/>
<param name="mvStopFrame" value="1"/>
<param name="mvCreamFrame" value="0"/>
<param name="mlMinStopFrame" value="0mil"/>
<param name="mlMaxStopFrame" value="0mil"/>
<param name="mlMinCreamFrame" value="0mil"/>
<param name="mlMaxCreamFrame" value="0mil"/>
<param name="mlViaStopLimit" value="100mil"/>
<param name="srRoundness" value="0"/>
<param name="srMinRoundness" value="0mil"/>
<param name="srMaxRoundness" value="0mil"/>
<param name="slThermalIsolate" value="10mil"/>
<param name="slThermalsForVias" value="0"/>
<param name="dpMaxLengthDifference" value="10mm"/>
<param name="dpGapFactor" value="2.5"/>
<param name="checkAngle" value="0"/>
<param name="checkFont" value="1"/>
<param name="checkRestrict" value="0"/>
<param name="checkStop" value="0"/>
<param name="checkValues" value="0"/>
<param name="checkNames" value="1"/>
<param name="checkWireStubs" value="1"/>
<param name="checkPolygonWidth" value="0"/>
<param name="useDiameter" value="13"/>
<param name="maxErrors" value="50"/>
</designrules>
<autorouter>
<pass name="Default">
<param name="RoutingGrid" value="50mil"/>
<param name="AutoGrid" value="1"/>
<param name="Efforts" value="0"/>
<param name="TopRouterVariant" value="1"/>
<param name="tpViaShape" value="round"/>
<param name="PrefDir.1" value="a"/>
<param name="PrefDir.2" value="0"/>
<param name="PrefDir.3" value="0"/>
<param name="PrefDir.4" value="0"/>
<param name="PrefDir.5" value="0"/>
<param name="PrefDir.6" value="0"/>
<param name="PrefDir.7" value="0"/>
<param name="PrefDir.8" value="0"/>
<param name="PrefDir.9" value="0"/>
<param name="PrefDir.10" value="0"/>
<param name="PrefDir.11" value="0"/>
<param name="PrefDir.12" value="0"/>
<param name="PrefDir.13" value="0"/>
<param name="PrefDir.14" value="0"/>
<param name="PrefDir.15" value="0"/>
<param name="PrefDir.16" value="a"/>
<param name="cfVia" value="8"/>
<param name="cfNonPref" value="5"/>
<param name="cfChangeDir" value="2"/>
<param name="cfOrthStep" value="2"/>
<param name="cfDiagStep" value="3"/>
<param name="cfExtdStep" value="0"/>
<param name="cfBonusStep" value="1"/>
<param name="cfMalusStep" value="1"/>
<param name="cfPadImpact" value="4"/>
<param name="cfSmdImpact" value="4"/>
<param name="cfBusImpact" value="0"/>
<param name="cfHugging" value="3"/>
<param name="cfAvoid" value="4"/>
<param name="cfPolygon" value="10"/>
<param name="cfBase.1" value="0"/>
<param name="cfBase.2" value="1"/>
<param name="cfBase.3" value="1"/>
<param name="cfBase.4" value="1"/>
<param name="cfBase.5" value="1"/>
<param name="cfBase.6" value="1"/>
<param name="cfBase.7" value="1"/>
<param name="cfBase.8" value="1"/>
<param name="cfBase.9" value="1"/>
<param name="cfBase.10" value="1"/>
<param name="cfBase.11" value="1"/>
<param name="cfBase.12" value="1"/>
<param name="cfBase.13" value="1"/>
<param name="cfBase.14" value="1"/>
<param name="cfBase.15" value="1"/>
<param name="cfBase.16" value="0"/>
<param name="mnVias" value="20"/>
<param name="mnSegments" value="9999"/>
<param name="mnExtdSteps" value="9999"/>
<param name="mnRipupLevel" value="10"/>
<param name="mnRipupSteps" value="100"/>
<param name="mnRipupTotal" value="100"/>
</pass>
<pass name="Follow-me" refer="Default" active="yes">
</pass>
<pass name="Busses" refer="Default" active="yes">
<param name="cfNonPref" value="4"/>
<param name="cfBusImpact" value="4"/>
<param name="cfHugging" value="0"/>
<param name="mnVias" value="0"/>
</pass>
<pass name="Route" refer="Default" active="yes">
</pass>
<pass name="Optimize1" refer="Default" active="yes">
<param name="cfVia" value="99"/>
<param name="cfExtdStep" value="10"/>
<param name="cfHugging" value="1"/>
<param name="mnExtdSteps" value="1"/>
<param name="mnRipupLevel" value="0"/>
</pass>
<pass name="Optimize2" refer="Optimize1" active="yes">
<param name="cfNonPref" value="0"/>
<param name="cfChangeDir" value="6"/>
<param name="cfExtdStep" value="0"/>
<param name="cfBonusStep" value="2"/>
<param name="cfMalusStep" value="2"/>
<param name="cfPadImpact" value="2"/>
<param name="cfSmdImpact" value="2"/>
<param name="cfHugging" value="0"/>
</pass>
<pass name="Optimize3" refer="Optimize2" active="yes">
<param name="cfChangeDir" value="8"/>
<param name="cfPadImpact" value="0"/>
<param name="cfSmdImpact" value="0"/>
</pass>
<pass name="Optimize4" refer="Optimize3" active="yes">
<param name="cfChangeDir" value="25"/>
</pass>
</autorouter>
<elements>
<element name="C1" library="rcl" package="C0805" value="22p" x="61.595" y="36.83" rot="R90"/>
<element name="C4" library="rcl" package="UD-10X10_NICHICON" value="VF1000/10K-G" x="21.2725" y="36.35375" rot="R180"/>
<element name="C11" library="rcl" package="C0805" value="100n" x="38.4175" y="34.6075" rot="R90"/>
<element name="C2" library="rcl" package="C0805" value="22p" x="61.595" y="30.48" rot="R270"/>
<element name="C3" library="rcl" package="C0805" value="100n" x="55.5625" y="16.35125" rot="MR90"/>
<element name="C8" library="rcl" package="C0805" value="100n" x="58.1025" y="16.35125" rot="MR90"/>
<element name="C9" library="rcl" package="C0805" value="100n" x="60.6425" y="16.35125" rot="MR90"/>
<element name="D1" library="diode" library_urn="urn:adsk.eagle:library:210" package="SOD-123_MINI-SMA" package3d_urn="urn:adsk.eagle:package:43457/2" value="CGRM4002-G" x="27.94" y="26.67" rot="R90"/>
<element name="D2" library="diode" library_urn="urn:adsk.eagle:library:210" package="SOD-123_MINI-SMA" package3d_urn="urn:adsk.eagle:package:43457/2" value="CGRM4002-G" x="27.94" y="17.78" rot="R90"/>
<element name="IC1" library="atmel" package="SO20L" value="AT90S2313S" x="45.72" y="33.02">
<attribute name="MF" value="" x="45.72" y="33.02" size="1.778" layer="27" display="off"/>
<attribute name="MPN" value="" x="45.72" y="33.02" size="1.778" layer="27" display="off"/>
<attribute name="OC_FARNELL" value="unknown" x="45.72" y="33.02" size="1.778" layer="27" display="off"/>
<attribute name="OC_NEWARK" value="unknown" x="45.72" y="33.02" size="1.778" layer="27" display="off"/>
</element>
<element name="OK1" library="optocoupler" library_urn="urn:adsk.eagle:library:320" package="SOIC08" package3d_urn="urn:adsk.eagle:package:21945/1" value="ILD205" x="5.87375" y="40.005" rot="R270">
<attribute name="MF" value="VISHAY/TELEFUNKEN" x="5.87375" y="40.005" size="1.778" layer="27" rot="R270" display="off"/>
<attribute name="MPN" value="ILD205T" x="5.87375" y="40.005" size="1.778" layer="27" rot="R270" display="off"/>
<attribute name="OC_FARNELL" value="1469512" x="5.87375" y="40.005" size="1.778" layer="27" rot="R270" display="off"/>
<attribute name="OC_NEWARK" value="20H8678" x="5.87375" y="40.005" size="1.778" layer="27" rot="R270" display="off"/>
</element>
<element name="Q1" library="crystal" package="HC49UP" value="" x="57.15" y="33.02" rot="R90">
<attribute name="MF" value="" x="57.15" y="33.02" size="1.778" layer="27" rot="R90" display="off"/>
<attribute name="MPN" value="" x="57.15" y="33.02" size="1.778" layer="27" rot="R90" display="off"/>
<attribute name="OC_FARNELL" value="unknown" x="57.15" y="33.02" size="1.778" layer="27" rot="R90" display="off"/>
<attribute name="OC_NEWARK" value="unknown" x="57.15" y="33.02" size="1.778" layer="27" rot="R90" display="off"/>
</element>
<element name="R1" library="rcl" package="R0805" value="1k" x="6.985" y="34.76625" rot="R90"/>
<element name="R10" library="rcl" package="R0805" value="1k" x="49.05375" y="13.6525" rot="MR270"/>
<element name="R11" library="rcl" package="R0805" value="10k" x="60.0075" y="19.05" rot="R180"/>
<element name="R12" library="rcl" package="R0805" value="220" x="48.26" y="23.1775" rot="MR90"/>
<element name="R13" library="rcl" package="R0805" value="220" x="45.72" y="23.1775" rot="MR270"/>
<element name="R14" library="rcl" package="R0805" value="0" x="50.8" y="23.1775" rot="MR90"/>
<element name="R15" library="rcl" package="R0805" value="10k" x="13.335" y="45.4025" rot="MR90"/>
<element name="R2" library="rcl" package="R0805" value="10k" x="15.71625" y="45.4025" rot="MR90"/>
<element name="R3" library="rcl" package="R0805" value="1k" x="4.445" y="34.76625" rot="R90"/>
<element name="R4" library="rcl" package="R0805" value="1k" x="46.51375" y="13.6525" rot="MR270"/>
<element name="R5" library="rcl" package="R0805" value="1k" x="51.59375" y="13.6525" rot="MR270"/>
<element name="R6" library="rcl" package="R0805" value="10k" x="60.0075" y="13.97" rot="R180"/>
<element name="R7" library="rcl" package="R0805" value="10k" x="60.0075" y="16.51" rot="R180"/>
<element name="R8" library="rcl" package="R0805" value="3k3" x="31.27375" y="28.2575"/>
<element name="R9" library="rcl" package="R0805" value="3k3" x="31.27375" y="19.3675"/>
<element name="X1" library="raspberrypi_bastelstube_v13" package="RASPI_BOARD_B+HAT" value="RASPI_BOARD_B+#_H" x="0" y="0"/>
<element name="X2" library="con-ria182" package="RIA182-04" value="RIA182-04" x="12.7" y="10.16"/>
<element name="X4" library="con-ria182" package="RIA182-05" value="RIA182-05" x="10.16" y="22.86" rot="R270"/>
<element name="T1" library="transistor" library_urn="urn:adsk.eagle:library:402" package="SOT23-BEC" package3d_urn="urn:adsk.eagle:package:28748/2" value="" x="29.845" y="31.115" rot="R90"/>
<element name="T2" library="transistor" library_urn="urn:adsk.eagle:library:402" package="SOT23-BEC" package3d_urn="urn:adsk.eagle:package:28748/2" value="" x="29.845" y="22.225" rot="R90"/>
<element name="K1" library="relay" library_urn="urn:adsk.eagle:library:339" package="G5V1" package3d_urn="urn:adsk.eagle:package:24341/1" value="G5V1" x="20.32" y="26.67">
<attribute name="MF" value="" x="20.32" y="26.67" size="1.778" layer="27" display="off"/>
<attribute name="MPN" value="G5V-1-DC24" x="20.32" y="26.67" size="1.778" layer="27" display="off"/>
<attribute name="OC_FARNELL" value="9949593" x="20.32" y="26.67" size="1.778" layer="27" display="off"/>
<attribute name="OC_NEWARK" value="36M6377" x="20.32" y="26.67" size="1.778" layer="27" display="off"/>
</element>
<element name="K2" library="relay" library_urn="urn:adsk.eagle:library:339" package="G5V1" package3d_urn="urn:adsk.eagle:package:24341/1" value="G5V1" x="20.32" y="17.78">
<attribute name="MF" value="" x="20.32" y="17.78" size="1.778" layer="27" display="off"/>
<attribute name="MPN" value="G5V-1-DC24" x="20.32" y="17.78" size="1.778" layer="27" display="off"/>
<attribute name="OC_FARNELL" value="9949593" x="20.32" y="17.78" size="1.778" layer="27" display="off"/>
<attribute name="OC_NEWARK" value="36M6377" x="20.32" y="17.78" size="1.778" layer="27" display="off"/>
</element>
<element name="R16" library="rcl" package="R0805" value="100" x="33.9725" y="24.765" rot="R90"/>
<element name="R17" library="rcl" package="R0805" value="100" x="36.195" y="22.86" rot="R270"/>
<element name="LED1" library="led" library_urn="urn:adsk.eagle:library:259" package="CHIP-LED0805" package3d_urn="urn:adsk.eagle:package:15818/2" value="" x="35.08375" y="28.41625" rot="R270"/>
<element name="LED2" library="led" library_urn="urn:adsk.eagle:library:259" package="CHIP-LED0805" package3d_urn="urn:adsk.eagle:package:15818/2" value="" x="35.08375" y="19.3675" rot="R270"/>
<element name="JP1" library="pinhead" library_urn="urn:adsk.eagle:library:325" package="1X02" package3d_urn="urn:adsk.eagle:package:22435/2" value="" x="31.27375" y="47.14875" rot="R90"/>
<element name="J1" library="SparkFun-Connectors" library_urn="urn:adsk.eagle:library:513" package="RJ45-8" package3d_urn="urn:adsk.eagle:package:38235/1" value="RJ45" x="48.895" y="10.95375">
<attribute name="PROD_ID" value="CONN-08506" x="48.895" y="10.95375" size="1.778" layer="27" display="off"/>
<attribute name="SF_ID" value="PRT-00643" x="48.895" y="10.95375" size="1.778" layer="27" display="off"/>
</element>
<element name="C5" library="rcl" package="C0805" value="100n" x="8.5725" y="45.4025" rot="MR90"/>
<element name="C6" library="rcl" package="C0805" value="100n" x="10.95375" y="45.4025" rot="MR90"/>
<element name="R18" library="rcl" package="R0805" value="1k" x="13.335" y="39.37" rot="MR180"/>
<element name="R19" library="rcl" package="R0805" value="1k" x="13.335" y="41.91" rot="MR180"/>
<element name="SJ1" library="jumper" library_urn="urn:adsk.eagle:library:252" package="SJ_2" package3d_urn="urn:adsk.eagle:package:15474/1" value="" x="25.24125" y="45.87875"/>
<element name="IC2" library="microchip" library_urn="urn:adsk.eagle:library:294" package="SO-08" package3d_urn="urn:adsk.eagle:package:20804/2" value="24C32ASN" x="45.085" y="46.51375" rot="R90">
<attribute name="MF" value="" x="45.085" y="46.51375" size="1.778" layer="27" rot="R90" display="off"/>
<attribute name="MPN" value="IS24C32A-2GLI" x="45.085" y="46.51375" size="1.778" layer="27" rot="R90" display="off"/>
<attribute name="OC_FARNELL" value="1224413" x="45.085" y="46.51375" size="1.778" layer="27" rot="R90" display="off"/>
<attribute name="OC_NEWARK" value="43M4588" x="45.085" y="46.51375" size="1.778" layer="27" rot="R90" display="off"/>
</element>
<element name="R20" library="rcl" package="R0805" value="3k9" x="42.06875" y="42.2275"/>
<element name="R21" library="rcl" package="R0805" value="3k9" x="46.51375" y="42.2275"/>
<element name="R22" library="rcl" package="R0805" value="3k9" x="50.95875" y="42.2275"/>
</elements>
<signals>
<signal name="VCC">
<contactref element="IC1" pad="20"/>
<contactref element="C11" pad="2"/>
<contactref element="R7" pad="1"/>
<contactref element="R6" pad="1"/>
<contactref element="R11" pad="1"/>
<contactref element="R2" pad="2"/>
<contactref element="R15" pad="2"/>
<contactref element="X1" pad="1"/>
<contactref element="X1" pad="17"/>
<via x="19.84375" y="48.26" extent="1-16" drill="0.35"/>
<via x="37.1475" y="37.1475" extent="1-16" drill="0.35"/>
<wire x1="37.1475" y1="37.1475" x2="38.4175" y2="35.8775" width="0.4064" layer="1"/>
<wire x1="38.4175" y1="35.8775" x2="38.4175" y2="35.5575" width="0.4064" layer="1"/>
<wire x1="37.1475" y1="37.1475" x2="28.69" y2="45.605" width="0.4064" layer="16"/>
<wire x1="38.4175" y1="35.5575" x2="40.2742" y2="37.4142" width="0.4064" layer="1"/>
<wire x1="40.2742" y1="37.4142" x2="40.64" y2="37.4142" width="0.4064" layer="1"/>
<wire x1="28.69" y1="45.605" x2="28.69" y2="51.23" width="0.4064" layer="16"/>
<wire x1="28.69" y1="51.23" x2="28.69" y2="49.2125" width="0.4064" layer="1"/>
<wire x1="60.9575" y1="19.05" x2="60.9575" y2="16.51" width="0.254" layer="1"/>
<wire x1="60.9575" y1="16.51" x2="60.9575" y2="13.97" width="0.254" layer="1"/>
<wire x1="37.7825" y1="36.5125" x2="59.53125" y2="36.5125" width="0.254" layer="16"/>
<wire x1="13.335" y1="46.3525" x2="15.71625" y2="46.3525" width="0.1524" layer="16"/>
<wire x1="15.71625" y1="46.3525" x2="17.93625" y2="46.3525" width="0.1524" layer="16"/>
<wire x1="17.93625" y1="46.3525" x2="19.84375" y2="48.26" width="0.1524" layer="16"/>
<wire x1="8.37" y1="49.57375" x2="8.37" y2="51.23" width="0.4064" layer="16"/>
<wire x1="19.84375" y1="48.26" x2="9.68375" y2="48.26" width="0.4064" layer="16"/>
<wire x1="9.68375" y1="48.26" x2="8.37" y2="49.57375" width="0.4064" layer="16"/>
<wire x1="37.1475" y1="37.1475" x2="37.7825" y2="36.5125" width="0.254" layer="16"/>
<via x="60.96" y="21.74875" extent="1-16" drill="0.35"/>
<wire x1="60.96" y1="21.74875" x2="60.96" y2="19.0525" width="0.254" layer="1"/>
<wire x1="60.96" y1="19.0525" x2="60.9575" y2="19.05" width="0.254" layer="1"/>
<wire x1="59.53125" y1="36.5125" x2="60.96" y2="35.08375" width="0.254" layer="16"/>
<wire x1="60.96" y1="35.08375" x2="60.96" y2="21.74875" width="0.254" layer="16"/>
<wire x1="28.69" y1="49.2125" x2="27.7375" y2="48.26" width="0.4064" layer="1"/>
<wire x1="27.7375" y1="48.26" x2="19.84375" y2="48.26" width="0.4064" layer="1"/>
<contactref element="IC2" pad="8"/>
<wire x1="42.485" y1="44.60875" x2="39.84625" y2="44.60875" width="0.3048" layer="1"/>
<wire x1="38.89375" y1="44.60875" x2="29.68625" y2="44.60875" width="0.3048" layer="1"/>
<wire x1="29.68625" y1="44.60875" x2="28.73375" y2="45.56125" width="0.3048" layer="1"/>
<wire x1="28.73375" y1="45.56125" x2="28.73375" y2="49.16875" width="0.3048" layer="1"/>
<wire x1="28.73375" y1="49.16875" x2="28.69" y2="49.2125" width="0.3048" layer="1"/>
<contactref element="R20" pad="2"/>
<contactref element="R21" pad="2"/>
<contactref element="R22" pad="2"/>
<wire x1="43.01875" y1="41.43375" x2="43.65375" y2="40.79875" width="0.3048" layer="1"/>
<wire x1="46.83164375" y1="40.79875" x2="47.46375" y2="41.43085625" width="0.3048" layer="1"/>
<wire x1="43.65375" y1="40.79875" x2="46.83164375" y2="40.79875" width="0.3048" layer="1"/>
<wire x1="47.46375" y1="41.43085625" x2="47.46375" y2="42.2275" width="0.3048" layer="1"/>
<wire x1="43.01875" y1="42.2275" x2="43.01875" y2="41.43375" width="0.3048" layer="1"/>
<wire x1="51.90875" y1="42.2275" x2="51.90875" y2="41.43625" width="0.3048" layer="1"/>
<wire x1="51.90875" y1="41.43625" x2="51.91125" y2="41.43375" width="0.3048" layer="1"/>
<wire x1="51.91125" y1="41.43375" x2="51.27625" y2="40.79875" width="0.3048" layer="1"/>
<wire x1="48.09585625" y1="40.79875" x2="47.46375" y2="41.43085625" width="0.3048" layer="1"/>
<wire x1="51.27625" y1="40.79875" x2="48.09585625" y2="40.79875" width="0.3048" layer="1"/>
<wire x1="43.01875" y1="42.2275" x2="43.01875" y2="41.43125" width="0.3048" layer="1"/>
<wire x1="43.01875" y1="41.43125" x2="42.38625" y2="40.79875" width="0.3048" layer="1"/>
<wire x1="42.38625" y1="40.79875" x2="40.005" y2="40.79875" width="0.3048" layer="1"/>
<wire x1="40.005" y1="40.79875" x2="39.37" y2="41.43375" width="0.3048" layer="1"/>
<wire x1="39.37" y1="41.43375" x2="39.37" y2="44.1325" width="0.3048" layer="1"/>
<wire x1="39.37" y1="44.1325" x2="38.89375" y2="44.60875" width="0.3048" layer="1"/>
<wire x1="39.37" y1="44.1325" x2="39.84625" y2="44.60875" width="0.3048" layer="1"/>
</signal>
<signal name="GND">
<contactref element="C2" pad="1"/>
<contactref element="C1" pad="1"/>
<contactref element="IC1" pad="10"/>
<contactref element="C11" pad="1"/>
<contactref element="C4" pad="-"/>
<contactref element="C8" pad="2"/>
<contactref element="C3" pad="2"/>
<contactref element="C9" pad="2"/>
<contactref element="OK1" pad="5"/>
<contactref element="OK1" pad="7"/>
<contactref element="X2" pad="1"/>
<contactref element="T2" pad="E"/>
<contactref element="T1" pad="E"/>
<wire x1="12.7" y1="10.16" x2="12.7" y2="7.62" width="1.27" layer="1"/>
<wire x1="15.24" y1="5.08" x2="12.7" y2="7.62" width="1.27" layer="1"/>
<wire x1="29.21" y1="5.08" x2="15.24" y2="5.08" width="1.27" layer="1"/>
<contactref element="X1" pad="6"/>
<contactref element="X1" pad="9"/>
<wire x1="13.45" y1="53.77" x2="14.7454" y2="52.4746" width="0.3048" layer="1"/>
<wire x1="14.7454" y1="52.4746" x2="16.50553125" y2="52.4746" width="0.3048" layer="1"/>
<wire x1="61.595" y1="31.43" x2="61.595" y2="35.88" width="0.4064" layer="1"/>
<wire x1="55.03545" y1="24.92375" x2="52.07" y2="27.8892" width="0.4064" layer="1"/>
<wire x1="63.5" y1="30.1625" x2="62.2325" y2="31.43" width="0.4064" layer="1"/>
<wire x1="62.2325" y1="31.43" x2="61.595" y2="31.43" width="0.4064" layer="1"/>
<contactref element="X1" pad="14"/>
<contactref element="X1" pad="20"/>
<contactref element="X1" pad="25"/>
<contactref element="X1" pad="30"/>
<contactref element="X1" pad="34"/>
<contactref element="X1" pad="39"/>
<wire x1="18.53" y1="51.23" x2="19.8278" y2="52.5278" width="0.254" layer="1"/>
<wire x1="22.9854875" y1="53.77" x2="23.61" y2="53.77" width="0.3048" layer="1"/>
<wire x1="19.8278" y1="52.5278" x2="21.7432875" y2="52.5278" width="0.254" layer="1"/>
<wire x1="21.7432875" y1="52.5278" x2="22.9854875" y2="53.77" width="0.254" layer="1"/>
<wire x1="23.61" y1="53.77" x2="24.0757625" y2="53.77" width="0.3048" layer="1"/>
<wire x1="24.0757625" y1="53.77" x2="25.4767125" y2="55.17095" width="0.4064" layer="1"/>
<wire x1="25.4767125" y1="55.17095" x2="29.82905" y2="55.17095" width="0.4064" layer="1"/>
<wire x1="29.82905" y1="55.17095" x2="31.23" y2="53.77" width="0.4064" layer="1"/>
<wire x1="43.93" y1="53.77" x2="42.52905" y2="55.17095" width="0.4064" layer="1"/>
<wire x1="42.52905" y1="55.17095" x2="32.385" y2="55.17095" width="0.4064" layer="1"/>
<wire x1="31.23" y1="54.01595" x2="32.385" y2="55.17095" width="0.4064" layer="1"/>
<wire x1="38.85" y1="51.23" x2="37.6078" y2="52.4722" width="0.254" layer="1"/>
<wire x1="32.5278" y1="52.4722" x2="31.23" y2="53.77" width="0.254" layer="1"/>
<wire x1="37.6078" y1="52.4722" x2="32.5278" y2="52.4722" width="0.254" layer="1"/>
<wire x1="45.33095" y1="55.17095" x2="47.60905" y2="55.17095" width="0.4064" layer="1"/>
<wire x1="43.93" y1="53.77" x2="45.33095" y2="55.17095" width="0.4064" layer="1"/>
<wire x1="49.01" y1="53.77" x2="47.60905" y2="55.17095" width="0.4064" layer="1"/>
<contactref element="R17" pad="1"/>
<contactref element="R16" pad="1"/>
<wire x1="25.28805" y1="33.44945" x2="31.41" y2="27.3275" width="1.27" layer="16"/>
<wire x1="18.53" y1="51.23" x2="18.53" y2="47.625" width="1.016" layer="1"/>
<wire x1="18.53" y1="47.625" x2="18.53" y2="40.64" width="1.016" layer="1"/>
<wire x1="18.53" y1="40.64" x2="18.53" y2="39.255" width="1.016" layer="1"/>
<wire x1="31.23" y1="53.77" x2="31.23" y2="54.01595" width="0.4064" layer="1"/>
<wire x1="16.50553125" y1="52.4746" x2="17.75013125" y2="51.23" width="0.3048" layer="1"/>
<wire x1="17.75013125" y1="51.23" x2="18.53" y2="51.23" width="0.3048" layer="1"/>
<contactref element="J1" pad="7"/>
<wire x1="52.07" y1="17.30375" x2="55.56" y2="17.30375" width="0.254" layer="16"/>
<wire x1="55.56" y1="17.30375" x2="55.5625" y2="17.30125" width="0.254" layer="16"/>
<wire x1="55.5625" y1="17.30125" x2="58.1025" y2="17.30125" width="0.254" layer="16"/>
<wire x1="58.1025" y1="17.30125" x2="60.6425" y2="17.30125" width="0.254" layer="16"/>
<wire x1="31.41" y1="27.3275" x2="31.41" y2="25.24125" width="1.27" layer="16"/>
<wire x1="25.28805" y1="33.575625" x2="25.28805" y2="33.44945" width="1.27" layer="16"/>
<via x="25.28805" y="33.575625" extent="1-16" drill="1.5"/>
<wire x1="25.28805" y1="33.575625" x2="25.2225" y2="33.641175" width="1.27" layer="1"/>
<wire x1="25.2225" y1="33.641175" x2="25.2225" y2="36.35375" width="1.27" layer="1"/>
<wire x1="18.53" y1="39.255" x2="21.43125" y2="36.35375" width="1.016" layer="1"/>
<wire x1="21.43125" y1="36.35375" x2="25.2225" y2="36.35375" width="1.016" layer="1"/>
<contactref element="C6" pad="2"/>
<contactref element="C5" pad="2"/>
<wire x1="10.95375" y1="46.3525" x2="8.5725" y2="46.3525" width="0.1524" layer="16"/>
<wire x1="10.95375" y1="46.3525" x2="12.22375" y2="47.6225" width="0.1524" layer="16"/>
<wire x1="12.22375" y1="47.6225" x2="16.19" y2="47.6225" width="0.1524" layer="16"/>
<wire x1="16.19" y1="47.6225" x2="16.1925" y2="47.625" width="0.1524" layer="16"/>
<wire x1="16.1925" y1="47.625" x2="16.1925" y2="47.577" width="0.1524" layer="16"/>
<via x="16.1925" y="47.577" extent="1-16" drill="0.35"/>
<wire x1="16.1925" y1="47.577" x2="18.482" y2="47.577" width="0.1524" layer="1"/>
<wire x1="18.482" y1="47.577" x2="18.53" y2="47.625" width="0.1524" layer="1"/>
<wire x1="8.94715" y1="40.64" x2="7.46125" y2="40.64" width="0.1524" layer="1"/>
<wire x1="7.46125" y1="40.64" x2="7.14375" y2="40.3225" width="0.1524" layer="1"/>
<wire x1="7.14375" y1="40.3225" x2="7.14375" y2="38.4175" width="0.1524" layer="1"/>
<wire x1="7.14375" y1="38.4175" x2="7.46125" y2="38.1" width="0.1524" layer="1"/>
<wire x1="7.46125" y1="38.1" x2="8.94715" y2="38.1" width="0.1524" layer="1"/>
<wire x1="8.94715" y1="40.64" x2="18.53" y2="40.64" width="0.1524" layer="1"/>
<wire x1="30.945" y1="23.175" x2="29.845" y2="24.275" width="0.6096" layer="1"/>
<wire x1="29.845" y1="24.275" x2="29.845" y2="25.0825" width="0.6096" layer="1"/>
<via x="29.845" y="25.0825" extent="1-16" drill="0.6"/>
<wire x1="29.845" y1="25.0825" x2="31.25125" y2="25.0825" width="0.6096" layer="16"/>
<wire x1="31.25125" y1="25.0825" x2="31.41" y2="25.24125" width="0.6096" layer="16"/>
<wire x1="33.9725" y1="23.815" x2="31.585" y2="23.815" width="0.6096" layer="1"/>
<wire x1="31.585" y1="23.815" x2="30.945" y2="23.175" width="0.6096" layer="1"/>
<wire x1="36.195" y1="23.81" x2="33.9775" y2="23.81" width="0.6096" layer="1"/>
<wire x1="33.9775" y1="23.81" x2="33.9725" y2="23.815" width="0.6096" layer="1"/>
<wire x1="25.2225" y1="36.35375" x2="30.95625" y2="36.35375" width="1.016" layer="1"/>
<wire x1="30.95625" y1="36.35375" x2="33.3375" y2="36.35375" width="1.016" layer="1"/>
<wire x1="33.3375" y1="36.35375" x2="36.03625" y2="33.655" width="1.016" layer="1"/>
<wire x1="36.03625" y1="33.655" x2="38.415" y2="33.655" width="1.016" layer="1"/>
<wire x1="38.415" y1="33.655" x2="38.4175" y2="33.6575" width="1.016" layer="1"/>
<wire x1="30.945" y1="32.065" x2="30.945" y2="36.3425" width="0.6096" layer="1"/>
<wire x1="30.945" y1="36.3425" x2="30.95625" y2="36.35375" width="0.6096" layer="1"/>
<via x="40.0025" y="33.6575" extent="1-16" drill="0.35"/>
<via x="50.4825" y="29.162" extent="1-16" drill="0.35"/>
<wire x1="31.41" y1="25.24125" x2="31.41" y2="7.28" width="1.27" layer="16"/>
<wire x1="31.41" y1="7.28" x2="29.21" y2="5.08" width="1.27" layer="16"/>
<via x="29.21" y="5.08" extent="1-16" drill="1.5"/>
<wire x1="52.07" y1="17.30375" x2="52.07" y2="27.8892" width="0.254" layer="1"/>
<wire x1="63.5" y1="30.1625" x2="63.5" y2="25.7175" width="0.4064" layer="1"/>
<wire x1="63.5" y1="25.7175" x2="62.70625" y2="24.92375" width="0.4064" layer="1"/>
<wire x1="62.70625" y1="24.92375" x2="55.03545" y2="24.92375" width="0.4064" layer="1"/>
<contactref element="IC2" pad="1"/>
<contactref element="IC2" pad="2"/>
<contactref element="IC2" pad="3"/>
<contactref element="IC2" pad="4"/>
<wire x1="47.685" y1="44.60875" x2="47.685" y2="45.87875" width="0.3048" layer="1"/>
<wire x1="47.685" y1="45.87875" x2="47.685" y2="47.14875" width="0.3048" layer="1"/>
<wire x1="47.685" y1="47.14875" x2="47.685" y2="48.41875" width="0.3048" layer="1"/>
<wire x1="47.685" y1="48.41875" x2="53.81875" y2="48.41875" width="0.3048" layer="1"/>
<wire x1="53.81875" y1="48.41875" x2="56.63" y2="51.23" width="0.3048" layer="1"/>
<wire x1="54.60553125" y1="52.4746" x2="53.57446875" y2="52.4746" width="0.3048" layer="1"/>
<wire x1="56.63" y1="51.23" x2="55.85013125" y2="51.23" width="0.3048" layer="1"/>
<wire x1="53.57446875" y1="52.4746" x2="53.57206875" y2="52.4722" width="0.3048" layer="1"/>
<wire x1="55.85013125" y1="51.23" x2="54.60553125" y2="52.4746" width="0.3048" layer="1"/>
<wire x1="50.3078" y1="52.4722" x2="49.01" y2="53.77" width="0.3048" layer="1"/>
<wire x1="52.06793125" y1="52.4722" x2="52.06553125" y2="52.4746" width="0.3048" layer="1"/>
<wire x1="52.06553125" y1="52.4746" x2="51.03446875" y2="52.4746" width="0.3048" layer="1"/>
<wire x1="51.03446875" y1="52.4746" x2="51.03206875" y2="52.4722" width="0.3048" layer="1"/>
<wire x1="51.03206875" y1="52.4722" x2="50.3078" y2="52.4722" width="0.3048" layer="1"/>
<wire x1="53.57206875" y1="52.4722" x2="52.06793125" y2="52.4722" width="0.3048" layer="1"/>
<wire x1="43.93" y1="53.77" x2="42.6346" y2="52.4746" width="0.3048" layer="1"/>
<wire x1="39.62986875" y1="51.23" x2="38.85" y2="51.23" width="0.3048" layer="1"/>
<wire x1="42.6346" y1="52.4746" x2="40.87446875" y2="52.4746" width="0.3048" layer="1"/>
<wire x1="40.87446875" y1="52.4746" x2="39.62986875" y2="51.23" width="0.3048" layer="1"/>
<wire x1="38.4175" y1="33.6575" x2="40.0025" y2="33.6575" width="0.4064" layer="1"/>
<wire x1="50.4825" y1="29.162" x2="45.987" y2="33.6575" width="0.4064" layer="16"/>
<wire x1="45.987" y1="33.6575" x2="40.0025" y2="33.6575" width="0.4064" layer="16"/>
<wire x1="52.07" y1="27.8892" x2="52.07" y2="28.575" width="0.4064" layer="1"/>
<wire x1="51.483" y1="29.162" x2="50.4825" y2="29.162" width="0.4064" layer="1"/>
<wire x1="52.07" y1="28.575" x2="51.483" y2="29.162" width="0.4064" layer="1"/>
</signal>
<signal name="N$6">
<contactref element="IC1" pad="4"/>
<contactref element="Q1" pad="2"/>
<contactref element="C1" pad="2"/>
<wire x1="57.15" y1="37.846" x2="57.084" y2="37.78" width="0.4064" layer="1"/>
<wire x1="56.9595" y1="37.6555" x2="51.689" y2="32.385" width="0.4064" layer="1"/>
<wire x1="46.355" y1="32.385" x2="44.45" y2="30.48" width="0.4064" layer="1"/>
<wire x1="44.45" y1="30.48" x2="44.45" y2="27.8892" width="0.4064" layer="1"/>
<wire x1="51.689" y1="32.385" x2="46.355" y2="32.385" width="0.4064" layer="1"/>
<wire x1="61.595" y1="37.78" x2="57.084" y2="37.78" width="0.4064" layer="1"/>
<wire x1="57.084" y1="37.78" x2="56.9595" y2="37.6555" width="0.4064" layer="1"/>
</signal>
<signal name="N$7">
<contactref element="IC1" pad="5"/>
<contactref element="Q1" pad="1"/>
<contactref element="C2" pad="2"/>
<wire x1="45.72" y1="27.8892" x2="45.72" y2="29.21" width="0.4064" layer="1"/>
<wire x1="45.72" y1="29.21" x2="46.355" y2="29.845" width="0.4064" layer="1"/>
<wire x1="46.355" y1="29.845" x2="57.15" y2="29.845" width="0.4064" layer="1"/>
<wire x1="61.595" y1="29.53" x2="57.465" y2="29.53" width="0.4064" layer="1"/>
<wire x1="57.465" y1="29.53" x2="57.15" y2="29.845" width="0.4064" layer="1"/>
<wire x1="57.15" y1="28.194" x2="57.15" y2="29.845" width="0.4064" layer="1"/>
</signal>
<signal name="+12V">
<contactref element="X2" pad="4"/>
<contactref element="K1" pad="6"/>
<contactref element="K2" pad="6"/>
<wire x1="23.2" y1="10.16" x2="23.2" y2="18.12" width="1.27" layer="16"/>
<wire x1="23.2" y1="18.12" x2="25.4" y2="20.32" width="1.27" layer="16"/>
<wire x1="25.4" y1="29.21" x2="22.86" y2="26.67" width="1.27" layer="16"/>
<wire x1="22.86" y1="26.67" x2="22.86" y2="22.86" width="1.27" layer="16"/>
<wire x1="22.86" y1="22.86" x2="25.4" y2="20.32" width="1.27" layer="16"/>
</signal>
<signal name="EMERGENCY_UNLOCK">
<contactref element="IC1" pad="15"/>
<contactref element="R15" pad="1"/>
<via x="19.84375" y="39.84625" extent="1-16" drill="0.35"/>
<wire x1="45.87875" y1="39.84625" x2="46.99" y2="38.735" width="0.1524" layer="1"/>
<wire x1="46.99" y1="38.735" x2="46.99" y2="37.4142" width="0.1524" layer="1"/>
<wire x1="19.84375" y1="39.84625" x2="45.87875" y2="39.84625" width="0.1524" layer="1"/>
<contactref element="R18" pad="2"/>
<contactref element="C6" pad="1"/>
<wire x1="13.335" y1="44.4525" x2="13.335" y2="39.6875" width="0.1524" layer="16"/>
<wire x1="13.335" y1="39.6875" x2="13.6525" y2="39.37" width="0.1524" layer="16"/>
<wire x1="13.6525" y1="39.37" x2="14.285" y2="39.37" width="0.1524" layer="16"/>
<wire x1="10.95375" y1="44.4525" x2="13.335" y2="44.4525" width="0.1524" layer="16"/>
<wire x1="15.71625" y1="39.37" x2="14.285" y2="39.37" width="0.1524" layer="16"/>
<wire x1="19.84375" y1="39.84625" x2="16.1925" y2="39.84625" width="0.1524" layer="16"/>
<wire x1="16.1925" y1="39.84625" x2="15.71625" y2="39.37" width="0.1524" layer="16"/>
</signal>
<signal name="N$20">
<contactref element="R4" pad="1"/>
<contactref element="J1" pad="1"/>
<wire x1="44.45" y1="17.30375" x2="44.45" y2="16.66625" width="0.254" layer="16"/>
<wire x1="44.45" y1="16.66625" x2="46.51375" y2="14.6025" width="0.254" layer="16"/>
</signal>
<signal name="N$21">
<contactref element="R5" pad="1"/>
<contactref element="J1" pad="5"/>
<wire x1="49.53" y1="17.30375" x2="49.53" y2="16.66625" width="0.254" layer="16"/>
<wire x1="49.53" y1="16.66625" x2="51.59375" y2="14.6025" width="0.254" layer="16"/>
</signal>
<signal name="BUTTON_RED">
<contactref element="R5" pad="2"/>
<contactref element="C3" pad="1"/>
<contactref element="R11" pad="2"/>
<contactref element="IC1" pad="6"/>
<wire x1="51.59375" y1="12.7025" x2="52.86375" y2="12.7025" width="0.254" layer="16"/>
<wire x1="52.86375" y1="12.7025" x2="55.5625" y2="15.40125" width="0.254" layer="16"/>
<wire x1="51.59375" y1="12.7025" x2="51.59375" y2="10.31875" width="0.254" layer="16"/>
<via x="51.59375" y="10.31875" extent="1-16" drill="0.35"/>
<wire x1="45.415" y1="11.87951875" x2="45.415" y2="11.1125" width="0.254" layer="1"/>
<wire x1="55.5625" y1="15.40125" x2="56.83" y2="16.66875" width="0.1524" layer="16"/>
<wire x1="56.83" y1="16.66875" x2="56.8325" y2="16.66875" width="0.1524" layer="16"/>
<via x="56.8325" y="16.66875" extent="1-16" drill="0.3048"/>
<wire x1="56.8325" y1="16.66875" x2="57.62625" y2="17.4625" width="0.1524" layer="1"/>
<wire x1="57.62625" y1="17.4625" x2="57.62625" y2="18.57375" width="0.1524" layer="1"/>
<wire x1="57.62625" y1="18.57375" x2="58.1025" y2="19.05" width="0.1524" layer="1"/>
<wire x1="58.1025" y1="19.05" x2="59.0575" y2="19.05" width="0.1524" layer="1"/>
<wire x1="45.415" y1="11.87951875" x2="41.5925" y2="15.70201875" width="0.254" layer="1"/>
<wire x1="41.5925" y1="15.70201875" x2="41.5925" y2="22.5425" width="0.254" layer="1"/>
<wire x1="45.415" y1="11.1125" x2="46.20875" y2="10.31875" width="0.254" layer="1"/>
<wire x1="46.20875" y1="10.31875" x2="51.59375" y2="10.31875" width="0.254" layer="1"/>
<wire x1="41.5925" y1="22.5425" x2="45.87875" y2="26.82875" width="0.254" layer="1"/>
<wire x1="45.87875" y1="26.82875" x2="46.83125" y2="26.82875" width="0.254" layer="1"/>
<wire x1="46.83125" y1="26.82875" x2="46.99" y2="26.9875" width="0.254" layer="1"/>
<wire x1="46.99" y1="26.9875" x2="46.99" y2="27.8892" width="0.254" layer="1"/>
</signal>
<signal name="BUTTON_YELLOW">
<contactref element="R4" pad="2"/>
<contactref element="R6" pad="2"/>
<contactref element="C9" pad="1"/>
<contactref element="IC1" pad="7"/>
<wire x1="60.6425" y1="10.63625" x2="58.26125" y2="8.255" width="0.254" layer="16"/>
<wire x1="60.6425" y1="10.63625" x2="60.6425" y2="15.40125" width="0.254" layer="16"/>
<via x="46.51375" y="11.27125" extent="1-16" drill="0.35"/>
<wire x1="46.51375" y1="11.27125" x2="46.51375" y2="12.7025" width="0.254" layer="16"/>
<wire x1="49.05375" y1="8.255" x2="46.51375" y2="10.795" width="0.254" layer="16"/>
<wire x1="46.51375" y1="10.795" x2="46.51375" y2="11.27125" width="0.254" layer="16"/>
<wire x1="58.26125" y1="8.255" x2="49.05375" y2="8.255" width="0.254" layer="16"/>
<wire x1="46.51375" y1="11.27125" x2="46.51375" y2="11.5546625" width="0.254" layer="1"/>
<wire x1="60.6425" y1="15.40125" x2="59.53375" y2="15.40125" width="0.1524" layer="16"/>
<wire x1="59.53375" y1="15.40125" x2="59.3725" y2="15.24" width="0.1524" layer="16"/>
<via x="59.3725" y="15.24" extent="1-16" drill="0.3048"/>
<wire x1="59.3725" y1="15.24" x2="59.3725" y2="14.285" width="0.1524" layer="1"/>
<wire x1="59.3725" y1="14.285" x2="59.0575" y2="13.97" width="0.1524" layer="1"/>
<wire x1="46.51375" y1="11.5546625" x2="42.2275" y2="15.8409125" width="0.254" layer="1"/>
<wire x1="42.2275" y1="15.8409125" x2="42.2275" y2="22.06625" width="0.254" layer="1"/>
<wire x1="42.2275" y1="22.06625" x2="46.355" y2="26.19375" width="0.254" layer="1"/>
<wire x1="47.625" y1="26.19375" x2="48.26" y2="26.82875" width="0.254" layer="1"/>
<wire x1="48.26" y1="26.82875" x2="48.26" y2="27.8892" width="0.254" layer="1"/>
<wire x1="46.355" y1="26.19375" x2="47.625" y2="26.19375" width="0.254" layer="1"/>
</signal>
<signal name="BOLZEN_OUT">
<contactref element="K1" pad="10"/>
<contactref element="X4" pad="4"/>
<wire x1="13.335" y1="27.305" x2="15.24" y2="29.21" width="1.27" layer="16"/>
<wire x1="13.335" y1="21.59" x2="13.335" y2="27.305" width="1.27" layer="16"/>
<wire x1="10.16" y1="19.36" x2="11.105" y2="19.36" width="1.27" layer="16"/>
<wire x1="11.105" y1="19.36" x2="13.335" y2="21.59" width="1.27" layer="16"/>
</signal>
<signal name="SCHNAPPER_OUT">
<contactref element="K2" pad="10"/>
<contactref element="X4" pad="5"/>
<wire x1="10.16" y1="15.86" x2="12.05" y2="15.86" width="1.27" layer="16"/>
<wire x1="15.24" y1="19.05" x2="15.24" y2="20.32" width="1.27" layer="16"/>
<wire x1="12.05" y1="15.86" x2="15.24" y2="19.05" width="1.27" layer="16"/>
</signal>
<signal name="N$24">
<contactref element="D2" pad="A"/>
<contactref element="T2" pad="C"/>
<contactref element="K2" pad="9"/>
<wire x1="17.78" y1="20.32" x2="19.685" y2="18.415" width="0.635" layer="1"/>
<wire x1="26.775" y1="18.415" x2="27.94" y2="19.58" width="0.635" layer="1"/>
<wire x1="19.685" y1="18.415" x2="26.775" y2="18.415" width="0.635" layer="1"/>
<wire x1="28.745" y1="22.225" x2="27.94" y2="21.42" width="0.6096" layer="1"/>
<wire x1="27.94" y1="21.42" x2="27.94" y2="19.58" width="0.6096" layer="1"/>
</signal>
<signal name="N$25">
<contactref element="D1" pad="A"/>
<contactref element="T1" pad="C"/>
<contactref element="K1" pad="9"/>
<wire x1="17.78" y1="29.21" x2="19.685" y2="27.305" width="0.6096" layer="1"/>
<wire x1="26.775" y1="27.305" x2="27.94" y2="28.47" width="0.6096" layer="1"/>
<wire x1="19.685" y1="27.305" x2="26.775" y2="27.305" width="0.6096" layer="1"/>
<wire x1="28.745" y1="31.115" x2="27.94" y2="30.31" width="0.6096" layer="1"/>
<wire x1="27.94" y1="30.31" x2="27.94" y2="28.47" width="0.6096" layer="1"/>
</signal>
<signal name="N$27">
<contactref element="R8" pad="1"/>
<contactref element="T1" pad="B"/>
<wire x1="30.32375" y1="28.2575" x2="30.32375" y2="29.54375" width="0.6096" layer="1"/>
<wire x1="30.32375" y1="29.54375" x2="30.945" y2="30.165" width="0.6096" layer="1"/>
</signal>
<signal name="N$28">
<contactref element="R9" pad="1"/>
<contactref element="T2" pad="B"/>
<wire x1="30.32375" y1="19.3675" x2="30.32375" y2="20.65375" width="0.6096" layer="1"/>
<wire x1="30.32375" y1="20.65375" x2="30.945" y2="21.275" width="0.6096" layer="1"/>
</signal>
<signal name="BOLZEN">
<contactref element="R8" pad="2"/>
<contactref element="IC1" pad="13"/>
<wire x1="49.53" y1="37.4142" x2="49.53" y2="34.925" width="0.254" layer="1"/>
<wire x1="49.53" y1="34.925" x2="48.26" y2="33.655" width="0.254" layer="1"/>
<wire x1="46.19625" y1="33.655" x2="48.26" y2="33.655" width="0.254" layer="1"/>
<contactref element="LED1" pad="A"/>
<wire x1="32.22375" y1="28.2575" x2="33.875" y2="28.2575" width="0.6096" layer="1"/>
<wire x1="33.875" y1="28.2575" x2="34.03375" y2="28.41625" width="0.6096" layer="1"/>
<wire x1="37.20875" y1="31.59125" x2="44.1325" y2="31.59125" width="0.254" layer="1"/>
<wire x1="37.20875" y1="31.59125" x2="33.875" y2="28.2575" width="0.254" layer="1"/>
<wire x1="44.1325" y1="31.59125" x2="46.19625" y2="33.655" width="0.254" layer="1"/>
</signal>
<signal name="SCHNAPPER">
<contactref element="R9" pad="2"/>
<contactref element="IC1" pad="12"/>
<wire x1="46.19625" y1="33.02" x2="48.5775" y2="33.02" width="0.254" layer="1"/>
<wire x1="48.5775" y1="33.02" x2="50.8" y2="35.2425" width="0.254" layer="1"/>
<wire x1="50.8" y1="35.2425" x2="50.8" y2="37.4142" width="0.254" layer="1"/>
<contactref element="LED2" pad="A"/>
<wire x1="32.22375" y1="19.3675" x2="34.03375" y2="19.3675" width="0.6096" layer="1"/>
<wire x1="34.03375" y1="19.3675" x2="35.145" y2="18.25625" width="0.254" layer="1"/>
<wire x1="37.1475" y1="18.25625" x2="37.7825" y2="18.89125" width="0.254" layer="1"/>
<wire x1="37.7825" y1="18.89125" x2="37.7825" y2="30.00375" width="0.254" layer="1"/>
<wire x1="37.7825" y1="30.00375" x2="38.89375" y2="31.115" width="0.254" layer="1"/>
<wire x1="38.89375" y1="31.115" x2="44.29125" y2="31.115" width="0.254" layer="1"/>
<wire x1="35.145" y1="18.25625" x2="37.1475" y2="18.25625" width="0.254" layer="1"/>
<wire x1="44.29125" y1="31.115" x2="46.19625" y2="33.02" width="0.254" layer="1"/>
</signal>
<signal name="TXD">
<contactref element="IC1" pad="3"/>
<contactref element="X1" pad="10"/>
<wire x1="18.53" y1="53.77" x2="19.2714" y2="53.0286" width="0.3048" layer="16"/>
<wire x1="19.2714" y1="53.0286" x2="19.27500625" y2="53.0286" width="0.3048" layer="16"/>
<wire x1="22.3122" y1="51.7445375" x2="21.5845375" y2="52.4722" width="0.254" layer="16"/>
<wire x1="19.27500625" y1="53.0286" x2="19.83140625" y2="52.4722" width="0.254" layer="16"/>
<wire x1="19.83140625" y1="52.4722" x2="21.5845375" y2="52.4722" width="0.254" layer="16"/>
<wire x1="43.18" y1="29.05125" x2="43.18" y2="27.8892" width="0.254" layer="1"/>
<via x="43.18" y="29.05125" extent="1-16" drill="0.35"/>
<wire x1="43.18" y1="29.05125" x2="42.545" y2="29.68625" width="0.254" layer="16"/>
<wire x1="42.545" y1="29.68625" x2="38.89375" y2="29.68625" width="0.254" layer="16"/>
<wire x1="38.89375" y1="29.68625" x2="22.3122" y2="46.2678" width="0.254" layer="16"/>
<wire x1="22.3122" y1="46.2678" x2="22.3122" y2="51.7445375" width="0.254" layer="16"/>
</signal>
<signal name="RXD">
<contactref element="IC1" pad="2"/>
<contactref element="X1" pad="8"/>
<wire x1="41.91" y1="29.05125" x2="38.80375" y2="29.05125" width="0.254" layer="16"/>
<wire x1="19.7722" y1="51.7445375" x2="19.0445375" y2="52.4722" width="0.254" layer="16"/>
<wire x1="15.99" y1="53.77" x2="17.2878" y2="52.4722" width="0.254" layer="16"/>
<wire x1="38.80375" y1="29.05125" x2="21.83595" y2="46.01905" width="0.254" layer="16"/>
<wire x1="17.2878" y1="52.4722" x2="19.0445375" y2="52.4722" width="0.254" layer="16"/>
<wire x1="41.91" y1="29.05125" x2="41.91" y2="27.8892" width="0.254" layer="1"/>
<via x="41.91" y="29.05125" extent="1-16" drill="0.35"/>
<wire x1="21.83595" y1="46.01905" x2="21.83595" y2="48.64905" width="0.254" layer="16"/>
<wire x1="19.7722" y1="50.7128" x2="19.7722" y2="51.7445375" width="0.254" layer="16"/>
<wire x1="21.83595" y1="48.64905" x2="19.7722" y2="50.7128" width="0.254" layer="16"/>
</signal>
<signal name="N$8">
<contactref element="R10" pad="1"/>
<contactref element="J1" pad="3"/>
<wire x1="46.99" y1="17.30375" x2="46.99" y2="16.66625" width="0.254" layer="16"/>
<wire x1="46.99" y1="16.66625" x2="49.05375" y2="14.6025" width="0.254" layer="16"/>
</signal>
<signal name="BUTTON_GREEN">
<contactref element="R10" pad="2"/>
<contactref element="C8" pad="1"/>
<contactref element="R7" pad="2"/>
<contactref element="IC1" pad="8"/>
<wire x1="55.53576875" y1="8.71875" x2="55.54826875" y2="8.73125" width="0.254" layer="16"/>
<wire x1="55.54826875" y1="8.73125" x2="57.15" y2="8.73125" width="0.254" layer="16"/>
<wire x1="57.15" y1="8.73125" x2="58.1025" y2="9.68375" width="0.254" layer="16"/>
<wire x1="58.1025" y1="9.68375" x2="58.1025" y2="15.40125" width="0.254" layer="16"/>
<wire x1="55.53576875" y1="8.71875" x2="51.28875" y2="8.71875" width="0.254" layer="16"/>
<wire x1="49.05375" y1="11.27125" x2="47.53065" y2="11.27125" width="0.254" layer="1"/>
<via x="49.05375" y="11.27125" extent="1-16" drill="0.35"/>
<wire x1="49.05375" y1="11.27125" x2="49.05375" y2="12.7025" width="0.254" layer="16"/>
<wire x1="51.28875" y1="8.71875" x2="49.05375" y2="10.95375" width="0.254" layer="16"/>
<wire x1="49.05375" y1="10.95375" x2="49.05375" y2="11.27125" width="0.254" layer="16"/>
<wire x1="58.1025" y1="15.40125" x2="57.7875" y2="15.71625" width="0.1524" layer="16"/>
<wire x1="57.7875" y1="15.71625" x2="56.8325" y2="15.71625" width="0.1524" layer="16"/>
<via x="56.8325" y="15.71625" extent="1-16" drill="0.3048"/>
<wire x1="56.8325" y1="15.71625" x2="58.26375" y2="15.71625" width="0.1524" layer="1"/>
<wire x1="58.26375" y1="15.71625" x2="59.0575" y2="16.51" width="0.1524" layer="1"/>
<wire x1="47.53065" y1="11.27125" x2="42.8625" y2="15.9394" width="0.254" layer="1"/>
<wire x1="42.8625" y1="15.9394" x2="42.8625" y2="21.59" width="0.254" layer="1"/>
<wire x1="42.8625" y1="21.59" x2="46.83125" y2="25.55875" width="0.254" layer="1"/>
<wire x1="46.83125" y1="25.55875" x2="48.41875" y2="25.55875" width="0.254" layer="1"/>
<wire x1="48.41875" y1="25.55875" x2="49.53" y2="26.67" width="0.254" layer="1"/>
<wire x1="49.53" y1="26.67" x2="49.53" y2="27.8892" width="0.254" layer="1"/>
</signal>
<signal name="N$9">
<contactref element="R12" pad="1"/>
<contactref element="J1" pad="4"/>
<wire x1="48.26" y1="19.84375" x2="48.26" y2="22.2275" width="0.254" layer="16"/>
</signal>
<signal name="N$11">
<contactref element="R13" pad="2"/>
<contactref element="J1" pad="2"/>
<wire x1="45.72" y1="19.84375" x2="45.72" y2="22.2275" width="0.254" layer="16"/>
</signal>
<signal name="LED_RED">
<contactref element="R12" pad="2"/>
<contactref element="IC1" pad="9"/>
<wire x1="48.42125" y1="24.28875" x2="48.26" y2="24.1275" width="0.254" layer="16"/>
<via x="49.5194" y="24.28875" extent="1-16" drill="0.35"/>
<wire x1="49.5194" y1="24.28875" x2="48.42125" y2="24.28875" width="0.254" layer="16"/>
<wire x1="50.8" y1="27.8892" x2="50.8" y2="26.82875" width="0.254" layer="1"/>
<wire x1="49.5194" y1="25.54815" x2="49.5194" y2="24.28875" width="0.254" layer="1"/>
<wire x1="50.8" y1="26.82875" x2="49.5194" y2="25.54815" width="0.254" layer="1"/>
</signal>
<signal name="LED_YELLOW">
<contactref element="R13" pad="1"/>
<contactref element="IC1" pad="11"/>
<via x="52.07" y="34.6075" extent="1-16" drill="0.35"/>
<wire x1="45.72" y1="23.63315" x2="46.19625" y2="23.1569" width="0.1524" layer="16"/>
<wire x1="45.72" y1="23.63315" x2="45.72" y2="24.1275" width="0.1524" layer="16"/>
<wire x1="52.705" y1="28.575" x2="52.07" y2="29.21" width="0.1524" layer="16"/>
<wire x1="52.07" y1="29.21" x2="52.07" y2="34.6075" width="0.1524" layer="16"/>
<wire x1="52.07" y1="37.3888" x2="52.07" y2="34.6075" width="0.1524" layer="1"/>
<wire x1="51.9173" y1="23.1569" x2="52.705" y2="23.9446" width="0.1524" layer="16"/>
<wire x1="52.705" y1="23.9446" x2="52.705" y2="28.575" width="0.1524" layer="16"/>
<wire x1="46.19625" y1="23.1569" x2="51.9173" y2="23.1569" width="0.1524" layer="16"/>
</signal>
<signal name="LED_GREEN">
<contactref element="R14" pad="2"/>
<contactref element="IC1" pad="16"/>
<wire x1="45.72" y1="34.925" x2="52.22875" y2="28.41625" width="0.254" layer="16"/>
<via x="45.72" y="34.925" extent="1-16" drill="0.35"/>
<wire x1="45.72" y1="34.925" x2="45.72" y2="37.4142" width="0.254" layer="1"/>
<wire x1="52.22875" y1="28.41625" x2="52.22875" y2="23.97125" width="0.254" layer="16"/>
<wire x1="50.8" y1="24.1275" x2="50.95625" y2="23.97125" width="0.254" layer="16"/>
<wire x1="50.95625" y1="23.97125" x2="52.22875" y2="23.97125" width="0.254" layer="16"/>
</signal>
<signal name="+5V">
<contactref element="D1" pad="C"/>
<contactref element="D2" pad="C"/>
<contactref element="C4" pad="+"/>
<contactref element="X1" pad="4"/>
<contactref element="X1" pad="2"/>
<contactref element="X2" pad="2"/>
<contactref element="K2" pad="2"/>
<wire x1="16.2" y1="10.16" x2="16.2" y2="11.12" width="1.27" layer="16"/>
<wire x1="16.2" y1="11.12" x2="17.78" y2="12.7" width="1.27" layer="16"/>
<wire x1="17.78" y1="12.7" x2="17.78" y2="15.24" width="1.27" layer="16"/>
<wire x1="17.78" y1="15.24" x2="20.32" y2="17.78" width="1.27" layer="16"/>
<wire x1="27.94" y1="15.98" x2="27.835" y2="15.98" width="0.635" layer="1"/>
<wire x1="27.835" y1="15.98" x2="26.67" y2="17.145" width="0.635" layer="1"/>
<wire x1="26.67" y1="17.145" x2="19.685" y2="17.145" width="0.635" layer="1"/>
<wire x1="19.685" y1="17.145" x2="17.78" y2="15.24" width="0.635" layer="1"/>
<contactref element="K1" pad="2"/>
<wire x1="27.94" y1="24.87" x2="27.2" y2="24.87" width="0.508" layer="1"/>
<wire x1="27.2" y1="24.87" x2="26.035" y2="26.035" width="0.6096" layer="1"/>
<wire x1="26.035" y1="26.035" x2="19.685" y2="26.035" width="0.6096" layer="1"/>
<wire x1="19.685" y1="26.035" x2="17.78" y2="24.13" width="0.6096" layer="1"/>
<wire x1="20.32" y1="17.78" x2="20.32" y2="22.225" width="1.27" layer="16"/>
<wire x1="20.32" y1="22.225" x2="17.78" y2="24.13" width="1.27" layer="16"/>
<wire x1="20.32" y1="33.655" x2="20.32" y2="26.035" width="1.27" layer="16"/>
<wire x1="20.32" y1="26.035" x2="17.78" y2="24.13" width="1.27" layer="16"/>
<via x="20.32" y="33.655" extent="1-16" drill="1.5"/>
<wire x1="20.32" y1="33.655" x2="17.62125" y2="36.35375" width="1.27" layer="1"/>
<via x="12.85875" y="36.35375" extent="1-16" drill="1.5"/>
<wire x1="12.85875" y1="36.35375" x2="17.3475" y2="36.35375" width="1.016" layer="1"/>
<wire x1="7.46125" y1="36.35375" x2="12.85875" y2="36.35375" width="1.016" layer="16"/>
<wire x1="17.62125" y1="36.35375" x2="17.3475" y2="36.35375" width="1.27" layer="1"/>
<wire x1="7.46125" y1="36.35375" x2="6.35" y2="37.465" width="1.016" layer="16"/>
<wire x1="6.35" y1="52.22875" x2="7.89125" y2="53.77" width="1.016" layer="16"/>
<wire x1="7.89125" y1="53.77" x2="8.37" y2="53.77" width="1.016" layer="16"/>
<wire x1="6.35" y1="37.465" x2="6.35" y2="52.22875" width="1.016" layer="16"/>
<wire x1="8.37" y1="53.77" x2="10.91" y2="53.77" width="1.016" layer="16"/>
</signal>
<signal name="GND2">
<contactref element="OK1" pad="4"/>
<contactref element="OK1" pad="2"/>
<contactref element="X2" pad="3"/>
<contactref element="X4" pad="1"/>
<wire x1="10.16" y1="29.86" x2="4.46" y2="29.86" width="1.27" layer="16"/>
<wire x1="4.46" y1="29.86" x2="2.54" y2="27.94" width="1.27" layer="16"/>
<wire x1="2.54" y1="27.94" x2="2.54" y2="12.7" width="1.27" layer="16"/>
<wire x1="2.54" y1="12.7" x2="10.16" y2="5.08" width="1.27" layer="16"/>
<wire x1="10.16" y1="5.08" x2="17.78" y2="5.08" width="1.27" layer="16"/>
<wire x1="17.78" y1="5.08" x2="19.7" y2="7" width="1.27" layer="16"/>
<wire x1="19.7" y1="7" x2="19.7" y2="10.16" width="1.27" layer="16"/>
<wire x1="2.80035" y1="40.64" x2="1.42875" y2="40.64" width="0.508" layer="1"/>
<wire x1="1.42875" y1="40.64" x2="0.79375" y2="40.005" width="0.508" layer="1"/>
<wire x1="0.79375" y1="40.005" x2="0.79375" y2="39.37" width="0.508" layer="1"/>
<wire x1="0.79375" y1="39.37" x2="0.79375" y2="38.735" width="0.508" layer="1"/>
<wire x1="0.79375" y1="38.735" x2="1.42875" y2="38.1" width="0.508" layer="1"/>
<wire x1="1.42875" y1="38.1" x2="2.80035" y2="38.1" width="0.508" layer="1"/>
<wire x1="4.46" y1="29.86" x2="1.3335" y2="32.9865" width="0.635" layer="16"/>
<wire x1="1.3335" y1="32.9865" x2="1.3335" y2="33.17875" width="0.635" layer="16"/>
<wire x1="1.3335" y1="33.17875" x2="1.3942" y2="33.17875" width="0.635" layer="16"/>
<via x="1.3942" y="33.17875" extent="1-16" drill="0.35"/>
<wire x1="0.91795" y1="33.655" x2="0.91795" y2="37.5892" width="0.635" layer="1"/>
<wire x1="0.91795" y1="37.5892" x2="1.42875" y2="38.1" width="0.635" layer="1"/>
<wire x1="1.3942" y1="33.17875" x2="0.91795" y2="33.655" width="0.635" layer="1"/>
</signal>
<signal name="TUERSTATUS">
<contactref element="R2" pad="1"/>
<via x="19.84375" y="41.275" extent="1-16" drill="0.35"/>
<contactref element="R19" pad="2"/>
<contactref element="C5" pad="1"/>
<wire x1="14.285" y1="41.91" x2="15.39875" y2="41.91" width="0.1524" layer="16"/>
<wire x1="15.39875" y1="41.91" x2="15.71625" y2="42.2275" width="0.1524" layer="16"/>
<wire x1="15.71625" y1="42.2275" x2="15.71625" y2="44.4525" width="0.1524" layer="16"/>
<wire x1="8.5725" y1="44.4525" x2="9.5225" y2="45.4025" width="0.1524" layer="16"/>
<wire x1="15.71375" y1="44.4525" x2="15.71625" y2="44.4525" width="0.1524" layer="16"/>
<wire x1="9.5225" y1="45.4025" x2="14.76375" y2="45.4025" width="0.1524" layer="16"/>
<wire x1="14.76375" y1="45.4025" x2="15.71375" y2="44.4525" width="0.1524" layer="16"/>
<wire x1="15.71625" y1="42.2275" x2="16.66875" y2="41.275" width="0.1524" layer="16"/>
<wire x1="16.66875" y1="41.275" x2="19.84375" y2="41.275" width="0.1524" layer="16"/>
<contactref element="SJ1" pad="2"/>
<wire x1="19.84375" y1="41.275" x2="22.06625" y2="41.275" width="0.1524" layer="1"/>
<wire x1="22.06625" y1="41.275" x2="25.24125" y2="44.45" width="0.1524" layer="1"/>
<wire x1="25.24125" y1="44.45" x2="25.24125" y2="45.87875" width="0.1524" layer="1"/>
</signal>
<signal name="N$10">
<contactref element="R1" pad="1"/>
<contactref element="X4" pad="2"/>
<wire x1="6.985" y1="27.63" x2="6.985" y2="33.81625" width="0.635" layer="1"/>
<wire x1="10.16" y1="26.36" x2="8.255" y2="26.36" width="0.6096" layer="1"/>
<wire x1="8.255" y1="26.36" x2="6.985" y2="27.63" width="0.6096" layer="1"/>
</signal>
<signal name="N$13">
<contactref element="R3" pad="1"/>
<contactref element="X4" pad="3"/>
<wire x1="4.445" y1="33.81625" x2="4.445" y2="24.976665625" width="0.635" layer="1"/>
<wire x1="4.445" y1="24.976665625" x2="6.0325" y2="22.86" width="0.6096" layer="1"/>
<wire x1="6.0325" y1="22.86" x2="10.16" y2="22.86" width="0.6096" layer="1"/>
</signal>
<signal name="N$4">
<contactref element="OK1" pad="3"/>
<contactref element="R3" pad="2"/>
<wire x1="4.28875" y1="35.56" x2="3.96875" y2="35.56" width="0.635" layer="1"/>
<wire x1="2.80035" y1="39.37" x2="3.96875" y2="39.37" width="0.635" layer="1"/>
<wire x1="3.96875" y1="39.37" x2="4.60375" y2="38.735" width="0.635" layer="1"/>
<wire x1="4.60375" y1="38.735" x2="4.60375" y2="36.195" width="0.635" layer="1"/>
<wire x1="4.60375" y1="36.195" x2="3.96875" y2="35.56" width="0.635" layer="1"/>
<wire x1="4.445" y1="35.71625" x2="4.28875" y2="35.56" width="0.635" layer="1"/>
</signal>
<signal name="N$14">
<contactref element="R1" pad="2"/>
<contactref element="OK1" pad="1"/>
<wire x1="5.87375" y1="36.8275" x2="5.87375" y2="38.1" width="0.635" layer="1"/>
<wire x1="5.87375" y1="38.1" x2="5.87375" y2="40.005" width="0.635" layer="1"/>
<wire x1="5.87375" y1="40.005" x2="3.96875" y2="41.91" width="0.635" layer="1"/>
<wire x1="3.96875" y1="41.91" x2="2.80035" y2="41.91" width="0.635" layer="1"/>
<wire x1="6.985" y1="35.71625" x2="5.87375" y2="36.8275" width="0.635" layer="1"/>
</signal>
<signal name="N$16">
<contactref element="R16" pad="2"/>
<contactref element="LED1" pad="C"/>
<wire x1="33.9725" y1="25.715" x2="36.13375" y2="27.87625" width="0.6096" layer="1"/>
<wire x1="36.13375" y1="27.87625" x2="36.13375" y2="28.41625" width="0.6096" layer="1"/>
</signal>
<signal name="N$17">
<contactref element="R17" pad="2"/>
<contactref element="LED2" pad="C"/>
<wire x1="36.13375" y1="19.3675" x2="36.195" y2="19.42875" width="0.6096" layer="1"/>
<wire x1="36.195" y1="19.42875" x2="36.195" y2="21.91" width="0.6096" layer="1"/>
</signal>
<signal name="MOSI">
<contactref element="X1" pad="19"/>
<contactref element="IC1" pad="17"/>
<via x="44.45" y="38.735" extent="1-16" drill="0.35"/>
<wire x1="44.45" y1="37.4142" x2="44.45" y2="38.735" width="0.1524" layer="1"/>
<via x="39.84625" y="48.5775" extent="1-16" drill="0.35"/>
<wire x1="39.84625" y1="48.5775" x2="33.655" y2="48.5775" width="0.1524" layer="1"/>
<wire x1="31.23" y1="51.0025" x2="31.23" y2="51.23" width="0.1524" layer="1"/>
<wire x1="33.655" y1="48.5775" x2="31.23" y2="51.0025" width="0.1524" layer="1"/>
<wire x1="44.45" y1="38.735" x2="44.45" y2="39.52875" width="0.1524" layer="16"/>
<wire x1="44.45" y1="39.52875" x2="39.84625" y2="44.1325" width="0.1524" layer="16"/>
<wire x1="39.84625" y1="44.1325" x2="39.84625" y2="48.5775" width="0.1524" layer="16"/>
</signal>
<signal name="MISO">
<contactref element="X1" pad="21"/>
<contactref element="IC1" pad="18"/>
<wire x1="43.18" y1="37.4142" x2="43.18" y2="38.735" width="0.1524" layer="1"/>
<via x="43.18" y="38.735" extent="1-16" drill="0.35"/>
<wire x1="43.18" y1="38.735" x2="43.18" y2="39.37" width="0.1524" layer="16"/>
<wire x1="39.0525" y1="43.4975" x2="39.0525" y2="49.2125" width="0.1524" layer="16"/>
<wire x1="43.18" y1="39.37" x2="39.0525" y2="43.4975" width="0.1524" layer="16"/>
<via x="39.0525" y="49.2125" extent="1-16" drill="0.35"/>
<wire x1="33.77" y1="51.23" x2="33.77" y2="49.68875" width="0.1524" layer="1"/>
<wire x1="33.77" y1="49.68875" x2="34.24625" y2="49.2125" width="0.1524" layer="1"/>
<wire x1="34.24625" y1="49.2125" x2="39.0525" y2="49.2125" width="0.1524" layer="1"/>
</signal>
<signal name="SCK">
<contactref element="X1" pad="23"/>
<contactref element="IC1" pad="19"/>
<via x="41.91" y="38.735" extent="1-16" drill="0.35"/>
<wire x1="41.91" y1="38.735" x2="41.91" y2="37.4142" width="0.1524" layer="1"/>
<wire x1="41.91" y1="38.735" x2="41.91" y2="39.6875" width="0.1524" layer="16"/>
<wire x1="41.91" y1="39.6875" x2="38.735" y2="42.8625" width="0.1524" layer="16"/>
<wire x1="36.31" y1="49.415" x2="36.31" y2="51.23" width="0.1524" layer="16"/>
<wire x1="38.735" y1="42.8625" x2="38.735" y2="46.99" width="0.1524" layer="16"/>
<wire x1="38.735" y1="46.99" x2="36.31" y2="49.415" width="0.1524" layer="16"/>
</signal>
<signal name="RESET">
<contactref element="X1" pad="22"/>
<contactref element="JP1" pad="2"/>
<wire x1="31.27375" y1="48.41875" x2="32.54375" y2="49.68875" width="0.1524" layer="16"/>
<wire x1="32.54375" y1="49.68875" x2="32.54375" y2="52.705" width="0.1524" layer="16"/>
<wire x1="32.54375" y1="52.705" x2="33.60875" y2="53.77" width="0.1524" layer="16"/>
<wire x1="33.60875" y1="53.77" x2="33.77" y2="53.77" width="0.1524" layer="16"/>
</signal>
<signal name="N$1">
<contactref element="JP1" pad="1"/>
<contactref element="IC1" pad="1"/>
<via x="36.195" y="39.21125" extent="1-16" drill="0.35"/>
<wire x1="36.195" y1="39.21125" x2="31.27375" y2="44.1325" width="0.1524" layer="16"/>
<wire x1="31.27375" y1="44.1325" x2="31.27375" y2="45.87875" width="0.1524" layer="16"/>
<wire x1="37.55176875" y1="34.6281" x2="39.81820625" y2="34.6281" width="0.1524" layer="1"/>
<wire x1="36.5915" y1="38.81475" x2="36.5915" y2="35.58836875" width="0.1524" layer="1"/>
<wire x1="36.5915" y1="35.58836875" x2="37.55176875" y2="34.6281" width="0.1524" layer="1"/>
<wire x1="39.81820625" y1="34.6281" x2="40.64" y2="33.80630625" width="0.1524" layer="1"/>
<wire x1="40.64" y1="33.80630625" x2="40.64" y2="32.385" width="0.1524" layer="1"/>
<via x="40.64" y="32.385" extent="1-16" drill="0.35"/>
<via x="40.64" y="30.29305" extent="1-16" drill="0.35"/>
<wire x1="40.64" y1="32.385" x2="40.64" y2="30.29305" width="0.1524" layer="16"/>
<wire x1="40.64" y1="30.29305" x2="40.64" y2="27.8892" width="0.1524" layer="1"/>
<wire x1="36.5915" y1="38.81475" x2="36.195" y2="39.21125" width="0.1524" layer="1"/>
</signal>
<signal name="N$2">
<contactref element="J1" pad="6"/>
<contactref element="R14" pad="1"/>
<wire x1="50.8" y1="19.84375" x2="50.8" y2="22.2275" width="0.254" layer="16"/>
</signal>
<signal name="N$3">
<contactref element="OK1" pad="6"/>
<contactref element="R18" pad="1"/>
<wire x1="8.94715" y1="39.37" x2="10.795" y2="39.37" width="0.4064" layer="1"/>
<via x="10.795" y="39.37" extent="1-16" drill="0.35"/>
<wire x1="10.795" y1="39.37" x2="12.385" y2="39.37" width="0.1524" layer="16"/>
</signal>
<signal name="N$5">
<contactref element="OK1" pad="8"/>
<contactref element="R19" pad="1"/>
<wire x1="8.94715" y1="41.91" x2="10.795" y2="41.91" width="0.4064" layer="1"/>
<via x="10.795" y="41.91" extent="1-16" drill="0.35"/>
<wire x1="10.795" y1="41.91" x2="12.385" y2="41.91" width="0.1524" layer="16"/>
</signal>
<signal name="TUERSTATUS_AVR">
<contactref element="SJ1" pad="3"/>
<contactref element="IC1" pad="14"/>
<wire x1="26.76525" y1="45.87875" x2="26.76525" y2="43.2435" width="0.1524" layer="1"/>
<wire x1="26.76525" y1="43.2435" x2="29.68625" y2="40.3225" width="0.1524" layer="1"/>
<wire x1="29.68625" y1="40.3225" x2="46.99" y2="40.3225" width="0.1524" layer="1"/>
<wire x1="46.99" y1="40.3225" x2="48.26" y2="39.0525" width="0.1524" layer="1"/>
<wire x1="48.26" y1="39.0525" x2="48.26" y2="37.4142" width="0.1524" layer="1"/>
</signal>
<signal name="TUERSTATUS_PI">
<contactref element="SJ1" pad="1"/>
<contactref element="X1" pad="15"/>
<wire x1="23.71725" y1="45.87875" x2="23.8125" y2="45.974" width="0.1524" layer="1"/>
<wire x1="23.8125" y1="45.974" x2="23.8125" y2="47.46625" width="0.1524" layer="1"/>
<via x="23.8125" y="47.46625" extent="1-16" drill="0.35"/>
<wire x1="23.8125" y1="47.46625" x2="26.15" y2="49.80375" width="0.1524" layer="16"/>
<wire x1="26.15" y1="49.80375" x2="26.15" y2="51.23" width="0.1524" layer="16"/>
</signal>
<signal name="ID_SD">
<contactref element="IC2" pad="5"/>
<contactref element="X1" pad="27"/>
<wire x1="42.485" y1="48.41875" x2="41.39" y2="49.51375" width="0.3048" layer="1"/>
<wire x1="41.39" y1="49.51375" x2="41.39" y2="51.23" width="0.3048" layer="1"/>
<contactref element="R22" pad="1"/>
<wire x1="45.3997" y1="44.99115625" x2="46.89335625" y2="43.4975" width="0.3048" layer="1"/>
<wire x1="45.3997" y1="47.41061875" x2="45.3997" y2="44.99115625" width="0.3048" layer="1"/>
<wire x1="48.73875" y1="43.4975" x2="50.00875" y2="42.2275" width="0.3048" layer="1"/>
<wire x1="46.89335625" y1="43.4975" x2="48.73875" y2="43.4975" width="0.3048" layer="1"/>
<wire x1="42.485" y1="48.41875" x2="44.39156875" y2="48.41875" width="0.3048" layer="1"/>
<wire x1="44.39156875" y1="48.41875" x2="45.3997" y2="47.41061875" width="0.3048" layer="1"/>
</signal>
<signal name="ID_SC">
<contactref element="IC2" pad="6"/>
<contactref element="X1" pad="28"/>
<wire x1="41.39" y1="53.77" x2="41.39" y2="52.99013125" width="0.3048" layer="16"/>
<wire x1="42.6346" y1="49.28165" x2="44.7675" y2="47.14875" width="0.3048" layer="16"/>
<wire x1="41.39" y1="52.99013125" x2="42.6346" y2="51.74553125" width="0.3048" layer="16"/>
<wire x1="42.6346" y1="51.74553125" x2="42.6346" y2="49.28165" width="0.3048" layer="16"/>
<via x="44.7675" y="47.14875" extent="1-16" drill="0.35"/>
<wire x1="44.7675" y1="47.14875" x2="42.485" y2="47.14875" width="0.3048" layer="1"/>
<contactref element="R21" pad="1"/>
<wire x1="44.7675" y1="47.14875" x2="44.7675" y2="43.02375" width="0.3048" layer="1"/>
<wire x1="44.7675" y1="43.02375" x2="45.56375" y2="42.2275" width="0.3048" layer="1"/>
</signal>
<signal name="ID_WP">
<contactref element="IC2" pad="7"/>
<contactref element="R20" pad="1"/>
<wire x1="42.485" y1="45.87875" x2="43.65625" y2="45.87875" width="0.3048" layer="1"/>
<wire x1="43.65625" y1="45.87875" x2="44.1325" y2="45.4025" width="0.3048" layer="1"/>
<wire x1="44.1325" y1="45.4025" x2="44.1325" y2="43.815" width="0.3048" layer="1"/>
<wire x1="44.1325" y1="43.815" x2="43.815" y2="43.4975" width="0.3048" layer="1"/>
<wire x1="43.815" y1="43.4975" x2="41.5925" y2="43.4975" width="0.3048" layer="1"/>
<wire x1="41.5925" y1="43.4975" x2="41.11875" y2="43.02375" width="0.3048" layer="1"/>
<wire x1="41.11875" y1="43.02375" x2="41.11875" y2="42.2275" width="0.3048" layer="1"/>
</signal>
</signals>
<mfgpreviewcolors>
<mfgpreviewcolor name="soldermaskcolor" color="0xC8008000"/>
<mfgpreviewcolor name="silkscreencolor" color="0xFFFEFEFE"/>
<mfgpreviewcolor name="backgroundcolor" color="0xFF282828"/>
<mfgpreviewcolor name="coppercolor" color="0xFFFFBF00"/>
<mfgpreviewcolor name="substratecolor" color="0xFF786E46"/>
</mfgpreviewcolors>
</board>
</drawing>
<compatibility>
<note version="6.3" minversion="6.2.2" severity="warning">
Since Version 6.2.2 text objects can contain more than one line,
which will not be processed correctly with this version.
</note>
<note version="8.2" severity="warning">
Since Version 8.2, EAGLE supports online libraries. The ids
of those online libraries will not be understood (or retained)
with this version.
</note>
<note version="8.3" severity="warning">
Since Version 8.3, EAGLE supports URNs for individual library
assets (packages, symbols, and devices). The URNs of those assets
will not be understood (or retained) with this version.
</note>
<note version="8.3" severity="warning">
Since Version 8.3, EAGLE supports the association of 3D packages
with devices in libraries, schematics, and board files. Those 3D
packages will not be understood (or retained) with this version.
</note>
</compatibility>
</eagle>